diff --git a/Cursor/hds/.hdlsidedata/_button_buttondiagram.vhg._fpf b/Cursor/hds/.hdlsidedata/_button_buttondiagram.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_button_buttondiagram.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_buttonblock_buttondiagram.vhg._fpf b/Cursor/hds/.hdlsidedata/_buttonblock_buttondiagram.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_buttonblock_buttondiagram.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_buttonblock_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_buttonblock_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_buttonblock_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_position_positiondiagram.vhg._fpf b/Cursor/hds/.hdlsidedata/_position_positiondiagram.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_position_positiondiagram.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_positionblock_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_positionblock_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_positionblock_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_positionblock_positiondiagram.vhg._fpf b/Cursor/hds/.hdlsidedata/_positionblock_positiondiagram.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_positionblock_positiondiagram.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/@button/button.bd b/Cursor/hds/@button@block/button@diagram.bd similarity index 100% rename from Cursor/hds/@button/button.bd rename to Cursor/hds/@button@block/button@diagram.bd diff --git a/Cursor/hds/@button/interface b/Cursor/hds/@button@block/interface similarity index 100% rename from Cursor/hds/@button/interface rename to Cursor/hds/@button@block/interface diff --git a/Cursor/hds/@compteur/compteurt.bd b/Cursor/hds/@compteur/compteurt.bd index a1216e8..a41af41 100644 --- a/Cursor/hds/@compteur/compteurt.bd +++ b/Cursor/hds/@compteur/compteurt.bd @@ -15,10 +15,6 @@ unitName "numeric_std" library "gates" unitName "gates" ) -(DmPackageRef -library "ieee" -unitName "NUMERIC_SIGNED" -) ] instances [ (Instance @@ -177,7 +173,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:27:54" +value "15:04:17" ) (vvPair variable "group" @@ -249,7 +245,7 @@ value "compteurt" ) (vvPair variable "time" -value "14:27:54" +value "15:04:17" ) (vvPair variable "unit" @@ -340,8 +336,7 @@ va (VaSet font "Courier New,8,0" ) xt "21000,-3800,35500,-3000" -st "clock : std_ulogic -" +st "clock : std_ulogic" ) ) *3 (PortIoIn @@ -404,8 +399,7 @@ va (VaSet font "Courier New,8,0" ) xt "21000,-3000,35500,-2200" -st "down : std_uLogic -" +st "down : std_uLogic" ) ) *5 (PortIoOut @@ -468,8 +462,7 @@ va (VaSet font "Courier New,8,0" ) xt "21000,-600,41000,200" -st "Position : unsigned(15 DOWNTO 0) -" +st "Position : unsigned(15 DOWNTO 0)" ) ) *7 (PortIoIn @@ -532,8 +525,7 @@ va (VaSet font "Courier New,8,0" ) xt "21000,-4600,35500,-3800" -st "RaZ : std_ulogic -" +st "RaZ : std_ulogic" ) ) *9 (PortIoIn @@ -596,8 +588,7 @@ va (VaSet font "Courier New,8,0" ) xt "21000,-2200,35500,-1400" -st "reset : std_ulogic -" +st "reset : std_ulogic" ) ) *11 (PortIoIn @@ -660,8 +651,7 @@ va (VaSet font "Courier New,8,0" ) xt "21000,-1400,35500,-600" -st "up : std_uLogic -" +st "up : std_uLogic" ) ) *13 (Grouping @@ -1084,7 +1074,7 @@ lang 11 decl (Decl n "upnotdown" t "std_uLogic" -o 5 +o 11 suid 12,0 ) declText (MLText @@ -1093,8 +1083,7 @@ va (VaSet font "Courier New,8,0" ) xt "21000,4600,39000,5400" -st "SIGNAL upnotdown : std_uLogic -" +st "SIGNAL upnotdown : std_uLogic" ) ) *29 (Net @@ -1103,7 +1092,7 @@ lang 11 decl (Decl n "en" t "std_ulogic" -o 7 +o 8 suid 13,0 ) declText (MLText @@ -1112,8 +1101,7 @@ va (VaSet font "Courier New,8,0" ) xt "21000,2200,39000,3000" -st "SIGNAL en : std_ulogic -" +st "SIGNAL en : std_ulogic" ) ) *30 (SaComponent @@ -1436,7 +1424,7 @@ decl (Decl n "position20bit" t "unsigned" b "(19 DOWNTO 0)" -o 10 +o 9 suid 16,0 ) declText (MLText @@ -1445,8 +1433,7 @@ va (VaSet font "Courier New,8,0" ) xt "21000,3000,45000,3800" -st "SIGNAL position20bit : unsigned(19 DOWNTO 0) -" +st "SIGNAL position20bit : unsigned(19 DOWNTO 0)" ) ) *42 (Net @@ -1455,7 +1442,7 @@ lang 11 decl (Decl n "clk" t "unsigned" -o 10 +o 7 suid 17,0 ) declText (MLText @@ -1464,8 +1451,7 @@ va (VaSet font "Courier New,8,0" ) xt "21000,1400,38000,2200" -st "SIGNAL clk : unsigned -" +st "SIGNAL clk : unsigned" ) ) *43 (Net @@ -1474,7 +1460,7 @@ lang 11 decl (Decl n "rst" t "unsigned" -o 11 +o 10 suid 18,0 ) declText (MLText @@ -1483,8 +1469,7 @@ va (VaSet font "Courier New,8,0" ) xt "21000,3800,38000,4600" -st "SIGNAL rst : unsigned -" +st "SIGNAL rst : unsigned" ) ) *44 (SaComponent @@ -2221,13 +2206,12 @@ blo "0,600" uid 139,0 va (VaSet ) -xt "0,800,19000,8000" +xt "0,800,17500,6800" st "LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; LIBRARY gates; -USE gates.gates.all; -USE ieee.NUMERIC_SIGNED.all;" +USE gates.gates.all;" tm "PackageList" ) ] @@ -2306,11 +2290,11 @@ tm "BdCompilerDirectivesTextMgr" associable 1 ) windowSize "0,24,1537,960" -viewArea "-21080,-16900,79713,45316" +viewArea "-21100,-16900,79693,45316" cachedDiagramExtent "-6200,-7000,68800,49000" hasePageBreakOrigin 1 pageBreakOrigin "-7000,-49000" -lastUid 834,0 +lastUid 981,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -3470,7 +3454,7 @@ m 4 decl (Decl n "upnotdown" t "std_uLogic" -o 5 +o 11 suid 12,0 ) ) @@ -3483,7 +3467,7 @@ m 4 decl (Decl n "en" t "std_ulogic" -o 7 +o 8 suid 13,0 ) ) @@ -3497,7 +3481,7 @@ decl (Decl n "position20bit" t "unsigned" b "(19 DOWNTO 0)" -o 10 +o 9 suid 16,0 ) ) @@ -3510,7 +3494,7 @@ m 4 decl (Decl n "clk" t "unsigned" -o 10 +o 7 suid 17,0 ) ) @@ -3523,7 +3507,7 @@ m 4 decl (Decl n "rst" t "unsigned" -o 11 +o 10 suid 18,0 ) ) diff --git a/Cursor/hds/@compteur/interface b/Cursor/hds/@compteur/interface index eb964c8..21a9431 100644 --- a/Cursor/hds/@compteur/interface +++ b/Cursor/hds/@compteur/interface @@ -501,7 +501,7 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -513,7 +513,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -541,7 +541,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -553,7 +553,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "13:09:17" +value "14:54:36" ) (vvPair variable "group" @@ -625,7 +625,7 @@ value "interface" ) (vvPair variable "time" -value "13:09:17" +value "14:54:36" ) (vvPair variable "unit" @@ -692,8 +692,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,3200,61000,4000" -st "clock : IN std_ulogic ; -" +st "clock : IN std_ulogic ;" ) thePort (LogicalPort decl (Decl @@ -737,8 +736,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,4000,61000,4800" -st "down : IN std_uLogic ; -" +st "down : IN std_uLogic ;" ) thePort (LogicalPort lang 11 @@ -784,8 +782,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,6400,66000,7200" -st "Position : OUT unsigned (15 DOWNTO 0) -" +st "Position : OUT unsigned (15 DOWNTO 0)" ) thePort (LogicalPort lang 11 @@ -832,8 +829,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,2400,61000,3200" -st "RaZ : IN std_ulogic ; -" +st "RaZ : IN std_ulogic ;" ) thePort (LogicalPort lang 11 @@ -878,8 +874,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,4800,61000,5600" -st "reset : IN std_ulogic ; -" +st "reset : IN std_ulogic ;" ) thePort (LogicalPort lang 11 @@ -924,8 +919,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,5600,61000,6400" -st "up : IN std_uLogic ; -" +st "up : IN std_uLogic ;" ) thePort (LogicalPort lang 11 @@ -1455,8 +1449,8 @@ tm "PanelText" ) parentGraphicsRef (HdmGraphicsRef libraryName "Cursor" -entityName "Position" -viewName "position.bd" +entityName "PositionBlock" +viewName "positionDiagram.bd" ) defaultSymbolBody (SymbolBody shape (Rectangle @@ -1647,6 +1641,8 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 172,0 +lastUid 218,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@encoder/interface b/Cursor/hds/@encoder/interface index 08c7a9b..b4937cc 100644 --- a/Cursor/hds/@encoder/interface +++ b/Cursor/hds/@encoder/interface @@ -496,7 +496,7 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -508,7 +508,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -536,7 +536,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -548,7 +548,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "13:09:40" +value "14:54:36" ) (vvPair variable "group" @@ -620,7 +620,7 @@ value "interface" ) (vvPair variable "time" -value "13:09:40" +value "14:54:36" ) (vvPair variable "unit" @@ -687,8 +687,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,2400,61000,3200" -st "clock : IN std_ulogic ; -" +st "clock : IN std_ulogic ;" ) thePort (LogicalPort decl (Decl @@ -733,8 +732,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,5600,61000,6400" -st "down : OUT std_uLogic ; -" +st "down : OUT std_uLogic ;" ) thePort (LogicalPort m 1 @@ -779,8 +777,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,3200,61000,4000" -st "encoderA : IN std_uLogic ; -" +st "encoderA : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl @@ -824,8 +821,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,4000,61000,4800" -st "encoderB : IN std_uLogic ; -" +st "encoderB : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl @@ -869,8 +865,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,4800,61000,5600" -st "reset : IN std_ulogic ; -" +st "reset : IN std_ulogic ;" ) thePort (LogicalPort decl (Decl @@ -915,8 +910,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,6400,60000,7200" -st "up : OUT std_uLogic -" +st "up : OUT std_uLogic " ) thePort (LogicalPort m 1 @@ -1446,8 +1440,8 @@ tm "PanelText" ) parentGraphicsRef (HdmGraphicsRef libraryName "Cursor" -entityName "Position" -viewName "position.bd" +entityName "PositionBlock" +viewName "positionDiagram.bd" ) defaultSymbolBody (SymbolBody shape (Rectangle @@ -1638,6 +1632,8 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 398,0 +lastUid 444,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@main/struct.bd b/Cursor/hds/@main/struct.bd index 2a1c250..bfbcb11 100644 --- a/Cursor/hds/@main/struct.bd +++ b/Cursor/hds/@main/struct.bd @@ -227,7 +227,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:37:59" +value "14:44:45" ) (vvPair variable "group" @@ -299,7 +299,7 @@ value "struct" ) (vvPair variable "time" -value "14:37:59" +value "14:44:45" ) (vvPair variable "unit" @@ -425,7 +425,7 @@ uid 21,0 lang 11 decl (Decl n "button" -t "std_uLogic_vector" +t "unsigned" b "(3 DOWNTO 0)" o 2 suid 1,0 @@ -1083,7 +1083,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "27200,49000,37000,50000" +xt "27200,49000,36400,50000" st " by %user on %dd %month %year " @@ -3068,6 +3068,43 @@ uid 72,0 va (VaSet vasetType 3 ) +xt "95000,22000,115000,22000" +pts [ +"115000,22000" +"95000,22000" +] +) +start &9 +end &38 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "114000,20800,116700,22000" +st "RaZ" +blo "114000,21800" +tm "WireNameMgr" +) +) +on &10 +) +*112 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) xt "35000,40000,114000,45000" pts [ "114000,45000" @@ -3100,43 +3137,6 @@ tm "WireNameMgr" ) on &16 ) -*112 (Wire -uid 71,0 -shape (OrthoPolyLine -uid 72,0 -va (VaSet -vasetType 3 -) -xt "95000,22000,115000,22000" -pts [ -"115000,22000" -"95000,22000" -] -) -start &9 -end &38 -sat 32 -eat 2 -st 0 -sf 1 -si 0 -tg (WTG -uid 75,0 -ps "ConnStartEndStrategy" -stg "STSignalDisplayStrategy" -f (Text -uid 76,0 -va (VaSet -isHidden 1 -) -xt "114000,20800,116700,22000" -st "RaZ" -blo "114000,21800" -tm "WireNameMgr" -) -) -on &10 -) *113 (Wire uid 85,0 optionalChildren [ @@ -5640,11 +5640,11 @@ tm "BdCompilerDirectivesTextMgr" associable 1 ) windowSize "0,0,1537,960" -viewArea "-20700,-29676,91874,41876" +viewArea "-20700,-29700,91874,41852" cachedDiagramExtent "-37000,-20200,122900,50000" hasePageBreakOrigin 1 pageBreakOrigin "-82000,-49000" -lastUid 3566,0 +lastUid 3753,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -6744,7 +6744,7 @@ port (LogicalPort lang 11 decl (Decl n "button" -t "std_uLogic_vector" +t "unsigned" b "(3 DOWNTO 0)" o 2 suid 1,0 diff --git a/Cursor/hds/@main/symbol.sb b/Cursor/hds/@main/symbol.sb index 4f384f6..4e9b170 100644 --- a/Cursor/hds/@main/symbol.sb +++ b/Cursor/hds/@main/symbol.sb @@ -65,7 +65,7 @@ port (LogicalPort lang 11 decl (Decl n "button" -t "std_uLogic_vector" +t "unsigned" b "(3 DOWNTO 0)" o 2 suid 75,0 @@ -657,7 +657,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:37:59" +value "14:44:45" ) (vvPair variable "group" @@ -729,7 +729,7 @@ value "symbol" ) (vvPair variable "time" -value "14:37:59" +value "14:44:45" ) (vvPair variable "unit" @@ -795,15 +795,15 @@ uid 883,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,71000,4000" -st "button : IN std_uLogic_vector (3 DOWNTO 0) ; +xt "44000,3200,66500,4000" +st "button : IN unsigned (3 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "button" -t "std_uLogic_vector" +t "unsigned" b "(3 DOWNTO 0)" o 2 suid 75,0 @@ -1409,7 +1409,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,46000,49000" +xt "36200,48000,45400,49000" st " by %user on %dd %month %year " @@ -2031,6 +2031,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1169,0 +lastUid 1192,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@position/interface b/Cursor/hds/@position@block/interface similarity index 97% rename from Cursor/hds/@position/interface rename to Cursor/hds/@position@block/interface index 954f847..d3a59f3 100644 --- a/Cursor/hds/@position/interface +++ b/Cursor/hds/@position@block/interface @@ -470,11 +470,11 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\interface.info" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\interface.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\interface.user" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\interface.user" ) (vvPair variable "SourceDir" @@ -498,11 +498,11 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Position" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\PositionBlock" ) (vvPair variable "date" @@ -522,7 +522,7 @@ value "21" ) (vvPair variable "entity_name" -value "Position" +value "PositionBlock" ) (vvPair variable "ext" @@ -558,7 +558,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "13:32:31" +value "15:01:17" ) (vvPair variable "group" @@ -586,7 +586,7 @@ value "12" ) (vvPair variable "module_name" -value "Position" +value "PositionBlock" ) (vvPair variable "month" @@ -598,11 +598,11 @@ value "d ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\interface" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\interface" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Position\\interface" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\PositionBlock\\interface" ) (vvPair variable "package_name" @@ -630,11 +630,11 @@ value "interface" ) (vvPair variable "time" -value "13:32:31" +value "15:01:17" ) (vvPair variable "unit" -value "Position" +value "PositionBlock" ) (vvPair variable "user" @@ -1007,8 +1007,8 @@ uid 12,0 va (VaSet font "Verdana,9,1" ) -xt "16650,11000,21350,12200" -st "Position" +xt "16650,11000,24650,12200" +st "PositionBlock" blo "16650,12000" ) ) @@ -1349,7 +1349,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,47000,45800,48000" +xt "36200,47000,47700,48000" st " %library/%unit/%view " @@ -1685,6 +1685,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 562,0 +lastUid 585,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@position/position.bd b/Cursor/hds/@position@block/position@diagram.bd similarity index 98% rename from Cursor/hds/@position/position.bd rename to Cursor/hds/@position@block/position@diagram.bd index f6c57a5..a716e98 100644 --- a/Cursor/hds/@position/position.bd +++ b/Cursor/hds/@position@block/position@diagram.bd @@ -61,11 +61,11 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\position.bd.info" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\position@diagram.bd.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\position.bd.user" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\position@diagram.bd.user" ) (vvPair variable "SourceDir" @@ -77,7 +77,7 @@ value "HDL Designer" ) (vvPair variable "arch_name" -value "position" +value "positionDiagram" ) (vvPair variable "concat_file" @@ -89,11 +89,11 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Position" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\PositionBlock" ) (vvPair variable "date" @@ -113,7 +113,7 @@ value "21" ) (vvPair variable "entity_name" -value "Position" +value "PositionBlock" ) (vvPair variable "ext" @@ -121,15 +121,15 @@ value "" ) (vvPair variable "f" -value "position.bd" +value "position@diagram.bd" ) (vvPair variable "f_logical" -value "position.bd" +value "positionDiagram.bd" ) (vvPair variable "f_noext" -value "position" +value "position@diagram" ) (vvPair variable "graphical_source_author" @@ -149,7 +149,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "13:32:31" +value "15:01:17" ) (vvPair variable "group" @@ -177,7 +177,7 @@ value "12" ) (vvPair variable "module_name" -value "Position" +value "PositionBlock" ) (vvPair variable "month" @@ -189,11 +189,11 @@ value "d ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\position.bd" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position@block\\position@diagram.bd" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Position\\position.bd" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\PositionBlock\\positionDiagram.bd" ) (vvPair variable "package_name" @@ -213,19 +213,19 @@ value "bd" ) (vvPair variable "this_file" -value "position" +value "position@diagram" ) (vvPair variable "this_file_logical" -value "position" +value "positionDiagram" ) (vvPair variable "time" -value "13:32:31" +value "15:01:17" ) (vvPair variable "unit" -value "Position" +value "PositionBlock" ) (vvPair variable "user" @@ -237,7 +237,7 @@ value "2019.2 (Build 5)" ) (vvPair variable "view" -value "position" +value "positionDiagram" ) (vvPair variable "year" @@ -808,7 +808,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "27200,47000,36500,48000" +xt "27200,47000,41400,48000" st " %library/%unit/%view " @@ -1733,12 +1733,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,0,1537,920" +windowSize "0,40,1537,960" viewArea "-23700,-7200,73166,51408" cachedDiagramExtent "-8500,0,64000,49000" hasePageBreakOrigin 1 pageBreakOrigin "-9000,0" -lastUid 695,0 +lastUid 748,0 defaultCommentText (CommentText shape (Rectangle layer 0 diff --git a/Cursor/hds/_button._epf b/Cursor/hds/_button._epf deleted file mode 100644 index 1a11b26..0000000 --- a/Cursor/hds/_button._epf +++ /dev/null @@ -1,2 +0,0 @@ -DEFAULT_ARCHITECTURE atom button -DEFAULT_FILE atom @button/button.bd diff --git a/Cursor/hds/_buttonblock._epf b/Cursor/hds/_buttonblock._epf new file mode 100644 index 0000000..c27e607 --- /dev/null +++ b/Cursor/hds/_buttonblock._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom @button@block/button@diagram.bd +DEFAULT_ARCHITECTURE atom button@diagram diff --git a/Cursor/hds/_position._epf b/Cursor/hds/_position._epf deleted file mode 100644 index 1711cd7..0000000 --- a/Cursor/hds/_position._epf +++ /dev/null @@ -1,2 +0,0 @@ -DEFAULT_ARCHITECTURE atom position -DEFAULT_FILE atom @position/position.bd diff --git a/Cursor/hds/_positionblock._epf b/Cursor/hds/_positionblock._epf new file mode 100644 index 0000000..64976d1 --- /dev/null +++ b/Cursor/hds/_positionblock._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom @position@block/position@diagram.bd +DEFAULT_ARCHITECTURE atom positionDiagram diff --git a/Cursor/hds/button_position/interface b/Cursor/hds/button_position/interface index 678b3e4..3ee5106 100644 --- a/Cursor/hds/button_position/interface +++ b/Cursor/hds/button_position/interface @@ -70,7 +70,7 @@ port (LogicalPort decl (Decl n "clock" t "std_ulogic" -o 2 +o 1 suid 43,0 ) ) @@ -84,7 +84,7 @@ decl (Decl n "dbus0" t "unsigned" b "(2 DOWNTO 0)" -o 9 +o 7 suid 44,0 ) ) @@ -95,7 +95,7 @@ port (LogicalPort decl (Decl n "go1" t "std_uLogic" -o 3 +o 2 suid 45,0 ) ) @@ -106,7 +106,7 @@ port (LogicalPort decl (Decl n "go2" t "std_uLogic" -o 4 +o 3 suid 46,0 ) ) @@ -117,7 +117,7 @@ port (LogicalPort decl (Decl n "reset" t "std_ulogic" -o 9 +o 4 suid 47,0 ) ) @@ -128,7 +128,7 @@ port (LogicalPort decl (Decl n "restart" t "std_uLogic" -o 6 +o 5 suid 48,0 ) ) @@ -140,7 +140,7 @@ lang 11 decl (Decl n "unlock" t "std_ulogic" -o 7 +o 6 suid 49,0 ) ) @@ -204,37 +204,37 @@ uid 737,0 ) *27 (MRCItem litem &15 -pos 1 +pos 6 dimension 20 uid 739,0 ) *28 (MRCItem litem &16 -pos 2 +pos 1 dimension 20 uid 741,0 ) *29 (MRCItem litem &17 -pos 3 +pos 2 dimension 20 uid 743,0 ) *30 (MRCItem litem &18 -pos 4 +pos 3 dimension 20 uid 745,0 ) *31 (MRCItem litem &19 -pos 5 +pos 4 dimension 20 uid 747,0 ) *32 (MRCItem litem &20 -pos 6 +pos 5 dimension 20 uid 749,0 ) @@ -563,7 +563,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "13:53:24" +value "14:54:17" ) (vvPair variable "group" @@ -635,7 +635,7 @@ value "interface" ) (vvPair variable "time" -value "13:53:24" +value "14:54:17" ) (vvPair variable "unit" @@ -702,14 +702,13 @@ va (VaSet font "Courier New,8,0" ) xt "44000,2400,60500,3200" -st "clock : IN std_ulogic ; -" +st "clock : IN std_ulogic ;" ) thePort (LogicalPort decl (Decl n "clock" t "std_ulogic" -o 2 +o 1 suid 43,0 ) ) @@ -748,8 +747,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,7200,64500,8000" -st "dbus0 : OUT unsigned (2 DOWNTO 0) -" +st "dbus0 : OUT unsigned (2 DOWNTO 0)" ) thePort (LogicalPort lang 11 @@ -758,7 +756,7 @@ decl (Decl n "dbus0" t "unsigned" b "(2 DOWNTO 0)" -o 9 +o 7 suid 44,0 ) ) @@ -796,14 +794,13 @@ va (VaSet font "Courier New,8,0" ) xt "44000,3200,60500,4000" -st "go1 : IN std_uLogic ; -" +st "go1 : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl n "go1" t "std_uLogic" -o 3 +o 2 suid 45,0 ) ) @@ -841,14 +838,13 @@ va (VaSet font "Courier New,8,0" ) xt "44000,4000,60500,4800" -st "go2 : IN std_uLogic ; -" +st "go2 : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl n "go2" t "std_uLogic" -o 4 +o 3 suid 46,0 ) ) @@ -886,14 +882,13 @@ va (VaSet font "Courier New,8,0" ) xt "44000,4800,60500,5600" -st "reset : IN std_ulogic ; -" +st "reset : IN std_ulogic ;" ) thePort (LogicalPort decl (Decl n "reset" t "std_ulogic" -o 9 +o 4 suid 47,0 ) ) @@ -931,14 +926,13 @@ va (VaSet font "Courier New,8,0" ) xt "44000,5600,60500,6400" -st "restart : IN std_uLogic ; -" +st "restart : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl n "restart" t "std_uLogic" -o 6 +o 5 suid 48,0 ) ) @@ -976,15 +970,14 @@ va (VaSet font "Courier New,8,0" ) xt "44000,6400,60500,7200" -st "unlock : IN std_ulogic ; -" +st "unlock : IN std_ulogic ;" ) thePort (LogicalPort lang 11 decl (Decl n "unlock" t "std_ulogic" -o 7 +o 6 suid 49,0 ) ) @@ -1506,8 +1499,8 @@ tm "PanelText" ) parentGraphicsRef (HdmGraphicsRef libraryName "Cursor" -entityName "Button" -viewName "button.bd" +entityName "ButtonBlock" +viewName "buttonDiagram.bd" ) defaultSymbolBody (SymbolBody shape (Rectangle @@ -1698,6 +1691,8 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 749,0 +lastUid 795,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/compteur@up@down@rsync@all/interface b/Cursor/hds/compteur@up@down@rsync@all/interface index b94a3bf..41d3dbd 100644 --- a/Cursor/hds/compteur@up@down@rsync@all/interface +++ b/Cursor/hds/compteur@up@down@rsync@all/interface @@ -15,10 +15,6 @@ unitName "numeric_std" library "gates" unitName "gates" ) -(DmPackageRef -library "ieee" -unitName "NUMERIC_SIGNED" -) ] libraryRefs [ "ieee" @@ -30,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 91,0 +suid 97,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -76,10 +72,10 @@ decl (Decl n "clock" t "std_ulogic" o 2 -suid 86,0 +suid 92,0 ) ) -uid 1124,0 +uid 1189,0 ) *15 (LogPort port (LogicalPort @@ -87,11 +83,11 @@ lang 11 decl (Decl n "en" t "std_ulogic" -o 7 -suid 87,0 +o 3 +suid 93,0 ) ) -uid 1126,0 +uid 1191,0 ) *16 (LogPort port (LogicalPort @@ -101,11 +97,11 @@ decl (Decl n "position20bit" t "unsigned" b "(19 DOWNTO 0)" -o 10 -suid 88,0 +o 6 +suid 94,0 ) ) -uid 1128,0 +uid 1193,0 ) *17 (LogPort port (LogicalPort @@ -114,10 +110,10 @@ decl (Decl n "RaZ" t "std_ulogic" o 1 -suid 89,0 +suid 95,0 ) ) -uid 1130,0 +uid 1195,0 ) *18 (LogPort port (LogicalPort @@ -126,10 +122,10 @@ decl (Decl n "reset" t "std_ulogic" o 4 -suid 90,0 +suid 96,0 ) ) -uid 1132,0 +uid 1197,0 ) *19 (LogPort port (LogicalPort @@ -138,10 +134,10 @@ decl (Decl n "upnotdown" t "std_uLogic" o 5 -suid 91,0 +suid 97,0 ) ) -uid 1134,0 +uid 1199,0 ) ] ) @@ -197,37 +193,37 @@ uid 113,0 litem &14 pos 0 dimension 20 -uid 1125,0 +uid 1190,0 ) *26 (MRCItem litem &15 pos 1 dimension 20 -uid 1127,0 +uid 1192,0 ) *27 (MRCItem litem &16 pos 2 dimension 20 -uid 1129,0 +uid 1194,0 ) *28 (MRCItem litem &17 pos 3 dimension 20 -uid 1131,0 +uid 1196,0 ) *29 (MRCItem litem &18 pos 4 dimension 20 -uid 1133,0 +uid 1198,0 ) *30 (MRCItem litem &19 pos 5 dimension 20 -uid 1135,0 +uid 1200,0 ) ] ) @@ -554,7 +550,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:27:14" +value "15:01:12" ) (vvPair variable "group" @@ -626,7 +622,7 @@ value "interface" ) (vvPair variable "time" -value "14:27:14" +value "15:01:12" ) (vvPair variable "unit" @@ -661,10 +657,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *64 (CptPort -uid 1094,0 +uid 1159,0 ps "OnEdgeStrategy" shape (Triangle -uid 1095,0 +uid 1160,0 ro 90 va (VaSet vasetType 1 @@ -673,11 +669,11 @@ fg "0,65535,0" xt "14250,23625,15000,24375" ) tg (CPTG -uid 1096,0 +uid 1161,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1097,0 +uid 1162,0 va (VaSet font "Verdana,12,0" ) @@ -688,10 +684,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1098,0 +uid 1163,0 va (VaSet font "Courier New,8,0" ) +xt "44000,3200,63500,4000" +st "clock : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -699,15 +698,15 @@ decl (Decl n "clock" t "std_ulogic" o 2 -suid 86,0 +suid 92,0 ) ) ) *65 (CptPort -uid 1099,0 +uid 1164,0 ps "OnEdgeStrategy" shape (Triangle -uid 1100,0 +uid 1165,0 ro 90 va (VaSet vasetType 1 @@ -716,11 +715,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 1101,0 +uid 1166,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1102,0 +uid 1167,0 va (VaSet font "Verdana,12,0" ) @@ -731,26 +730,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1103,0 +uid 1168,0 va (VaSet font "Courier New,8,0" ) +xt "44000,4000,63500,4800" +st "en : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 decl (Decl n "en" t "std_ulogic" -o 7 -suid 87,0 +o 3 +suid 93,0 ) ) ) *66 (CptPort -uid 1104,0 +uid 1169,0 ps "OnEdgeStrategy" shape (Triangle -uid 1105,0 +uid 1170,0 ro 90 va (VaSet vasetType 1 @@ -759,11 +761,11 @@ fg "0,65535,0" xt "33000,10625,33750,11375" ) tg (CPTG -uid 1106,0 +uid 1171,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1107,0 +uid 1172,0 va (VaSet font "Verdana,12,0" ) @@ -775,10 +777,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1108,0 +uid 1173,0 va (VaSet font "Courier New,8,0" ) +xt "44000,6400,68500,7200" +st "position20bit : OUT unsigned (19 DOWNTO 0) +" ) thePort (LogicalPort lang 11 @@ -787,16 +792,16 @@ decl (Decl n "position20bit" t "unsigned" b "(19 DOWNTO 0)" -o 10 -suid 88,0 +o 6 +suid 94,0 ) ) ) *67 (CptPort -uid 1109,0 +uid 1174,0 ps "OnEdgeStrategy" shape (Triangle -uid 1110,0 +uid 1175,0 ro 90 va (VaSet vasetType 1 @@ -805,11 +810,11 @@ fg "0,65535,0" xt "14250,15625,15000,16375" ) tg (CPTG -uid 1111,0 +uid 1176,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1112,0 +uid 1177,0 va (VaSet font "Verdana,12,0" ) @@ -820,10 +825,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1113,0 +uid 1178,0 va (VaSet font "Courier New,8,0" ) +xt "44000,2400,63500,3200" +st "RaZ : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -831,15 +839,15 @@ decl (Decl n "RaZ" t "std_ulogic" o 1 -suid 89,0 +suid 95,0 ) ) ) *68 (CptPort -uid 1114,0 +uid 1179,0 ps "OnEdgeStrategy" shape (Triangle -uid 1115,0 +uid 1180,0 ro 90 va (VaSet vasetType 1 @@ -848,11 +856,11 @@ fg "0,65535,0" xt "14250,21625,15000,22375" ) tg (CPTG -uid 1116,0 +uid 1181,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1117,0 +uid 1182,0 va (VaSet font "Verdana,12,0" ) @@ -863,10 +871,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1118,0 +uid 1183,0 va (VaSet font "Courier New,8,0" ) +xt "44000,4800,63500,5600" +st "reset : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -874,15 +885,15 @@ decl (Decl n "reset" t "std_ulogic" o 4 -suid 90,0 +suid 96,0 ) ) ) *69 (CptPort -uid 1119,0 +uid 1184,0 ps "OnEdgeStrategy" shape (Triangle -uid 1120,0 +uid 1185,0 ro 90 va (VaSet vasetType 1 @@ -891,11 +902,11 @@ fg "0,65535,0" xt "14250,12625,15000,13375" ) tg (CPTG -uid 1121,0 +uid 1186,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1122,0 +uid 1187,0 va (VaSet font "Verdana,12,0" ) @@ -906,10 +917,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1123,0 +uid 1188,0 va (VaSet font "Courier New,8,0" ) +xt "44000,5600,63500,6400" +st "upnotdown : IN std_uLogic ; +" ) thePort (LogicalPort lang 11 @@ -917,7 +931,7 @@ decl (Decl n "upnotdown" t "std_uLogic" o 5 -suid 91,0 +suid 97,0 ) ) ) @@ -1347,13 +1361,12 @@ blo "0,1000" uid 50,0 va (VaSet ) -xt "0,1200,19000,8400" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; LIBRARY gates; -USE gates.gates.all; -USE ieee.NUMERIC_SIGNED.all;" +USE gates.gates.all;" tm "PackageList" ) ] @@ -1631,6 +1644,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1135,0 +lastUid 1223,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/compteur@up@down@rsync@all/struct.bd b/Cursor/hds/compteur@up@down@rsync@all/struct.bd index 26a1ebf..36d5067 100644 --- a/Cursor/hds/compteur@up@down@rsync@all/struct.bd +++ b/Cursor/hds/compteur@up@down@rsync@all/struct.bd @@ -190,7 +190,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:27:13" +value "15:01:12" ) (vvPair variable "group" @@ -262,7 +262,7 @@ value "struct" ) (vvPair variable "time" -value "14:27:13" +value "15:01:12" ) (vvPair variable "unit" @@ -974,7 +974,7 @@ lang 11 decl (Decl n "up" t "std_ulogic" -o 10 +o 9 suid 13,0 ) declText (MLText @@ -982,7 +982,7 @@ uid 268,0 va (VaSet font "Courier New,8,0" ) -xt "22000,10000,40000,10800" +xt "22000,13200,40000,14000" st "SIGNAL up : std_ulogic " ) @@ -1006,29 +1006,10 @@ st "SIGNAL RCOi : std_ulogic " ) ) -*24 (Net -uid 727,0 -lang 11 -decl (Decl -n "eni" -t "std_ulogic" -o 9 -suid 21,0 -) -declText (MLText -uid 728,0 -va (VaSet -font "Courier New,8,0" -) -xt "22000,9200,40000,10000" -st "SIGNAL eni : std_ulogic -" -) -) -*25 (SaComponent +*24 (SaComponent uid 773,0 optionalChildren [ -*26 (CptPort +*25 (CptPort uid 745,0 ps "OnEdgeStrategy" shape (Triangle @@ -1064,7 +1045,7 @@ suid 1,0 ) ) ) -*27 (CptPort +*26 (CptPort uid 749,0 ps "OnEdgeStrategy" shape (Triangle @@ -1100,7 +1081,7 @@ suid 2,0 ) ) ) -*28 (CptPort +*27 (CptPort uid 753,0 ps "OnEdgeStrategy" shape (Triangle @@ -1139,7 +1120,7 @@ suid 3,0 ) ) ) -*29 (CptPort +*28 (CptPort uid 757,0 ps "OnEdgeStrategy" shape (Triangle @@ -1175,7 +1156,7 @@ suid 4,0 ) ) ) -*30 (CptPort +*29 (CptPort uid 761,0 ps "OnEdgeStrategy" shape (Triangle @@ -1213,7 +1194,7 @@ suid 5,0 ) ) ) -*31 (CptPort +*30 (CptPort uid 765,0 ps "OnEdgeStrategy" shape (Triangle @@ -1249,7 +1230,7 @@ suid 6,0 ) ) ) -*32 (CptPort +*31 (CptPort uid 769,0 ps "OnEdgeStrategy" shape (Triangle @@ -1302,7 +1283,7 @@ uid 775,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*33 (Text +*32 (Text uid 776,0 va (VaSet font "Verdana,9,1" @@ -1312,7 +1293,7 @@ st "Cursor" blo "29900,23800" tm "BdLibraryNameMgr" ) -*34 (Text +*33 (Text uid 777,0 va (VaSet font "Verdana,9,1" @@ -1322,7 +1303,7 @@ st "cpt4bit" blo "29900,25000" tm "CptNameMgr" ) -*35 (Text +*34 (Text uid 778,0 va (VaSet font "Verdana,9,1" @@ -1370,10 +1351,10 @@ sF 0 ) archFileType "UNKNOWN" ) -*36 (SaComponent +*35 (SaComponent uid 821,0 optionalChildren [ -*37 (CptPort +*36 (CptPort uid 831,0 ps "OnEdgeStrategy" shape (Triangle @@ -1408,7 +1389,7 @@ o 2 ) ) ) -*38 (CptPort +*37 (CptPort uid 835,0 ps "OnEdgeStrategy" shape (Triangle @@ -1443,7 +1424,7 @@ o 3 ) ) ) -*39 (CptPort +*38 (CptPort uid 839,0 ps "OnEdgeStrategy" shape (Triangle @@ -1481,7 +1462,7 @@ o 6 ) ) ) -*40 (CptPort +*39 (CptPort uid 843,0 ps "OnEdgeStrategy" shape (Triangle @@ -1516,7 +1497,7 @@ o 1 ) ) ) -*41 (CptPort +*40 (CptPort uid 847,0 ps "OnEdgeStrategy" shape (Triangle @@ -1553,7 +1534,7 @@ o 7 ) ) ) -*42 (CptPort +*41 (CptPort uid 851,0 ps "OnEdgeStrategy" shape (Triangle @@ -1588,7 +1569,7 @@ o 4 ) ) ) -*43 (CptPort +*42 (CptPort uid 855,0 ps "OnEdgeStrategy" shape (Triangle @@ -1640,7 +1621,7 @@ uid 823,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*44 (Text +*43 (Text uid 824,0 va (VaSet font "Verdana,9,1" @@ -1650,7 +1631,7 @@ st "Cursor" blo "29900,43800" tm "BdLibraryNameMgr" ) -*45 (Text +*44 (Text uid 825,0 va (VaSet font "Verdana,9,1" @@ -1660,7 +1641,7 @@ st "cpt4bit" blo "29900,45000" tm "CptNameMgr" ) -*46 (Text +*45 (Text uid 826,0 va (VaSet font "Verdana,9,1" @@ -1708,10 +1689,10 @@ sF 0 ) archFileType "UNKNOWN" ) -*47 (SaComponent +*46 (SaComponent uid 901,0 optionalChildren [ -*48 (CptPort +*47 (CptPort uid 911,0 ps "OnEdgeStrategy" shape (Triangle @@ -1746,7 +1727,7 @@ o 2 ) ) ) -*49 (CptPort +*48 (CptPort uid 915,0 ps "OnEdgeStrategy" shape (Triangle @@ -1781,7 +1762,7 @@ o 3 ) ) ) -*50 (CptPort +*49 (CptPort uid 919,0 ps "OnEdgeStrategy" shape (Triangle @@ -1819,7 +1800,7 @@ o 6 ) ) ) -*51 (CptPort +*50 (CptPort uid 923,0 ps "OnEdgeStrategy" shape (Triangle @@ -1854,7 +1835,7 @@ o 1 ) ) ) -*52 (CptPort +*51 (CptPort uid 927,0 ps "OnEdgeStrategy" shape (Triangle @@ -1891,7 +1872,7 @@ o 7 ) ) ) -*53 (CptPort +*52 (CptPort uid 931,0 ps "OnEdgeStrategy" shape (Triangle @@ -1926,7 +1907,7 @@ o 4 ) ) ) -*54 (CptPort +*53 (CptPort uid 935,0 ps "OnEdgeStrategy" shape (Triangle @@ -1978,7 +1959,7 @@ uid 903,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*55 (Text +*54 (Text uid 904,0 va (VaSet font "Verdana,9,1" @@ -1988,7 +1969,7 @@ st "Cursor" blo "29900,63800" tm "BdLibraryNameMgr" ) -*56 (Text +*55 (Text uid 905,0 va (VaSet font "Verdana,9,1" @@ -1998,7 +1979,7 @@ st "cpt4bit" blo "29900,65000" tm "CptNameMgr" ) -*57 (Text +*56 (Text uid 906,0 va (VaSet font "Verdana,9,1" @@ -2046,10 +2027,10 @@ sF 0 ) archFileType "UNKNOWN" ) -*58 (SaComponent +*57 (SaComponent uid 975,0 optionalChildren [ -*59 (CptPort +*58 (CptPort uid 985,0 ps "OnEdgeStrategy" shape (Triangle @@ -2084,7 +2065,7 @@ o 2 ) ) ) -*60 (CptPort +*59 (CptPort uid 989,0 ps "OnEdgeStrategy" shape (Triangle @@ -2119,7 +2100,7 @@ o 3 ) ) ) -*61 (CptPort +*60 (CptPort uid 993,0 ps "OnEdgeStrategy" shape (Triangle @@ -2157,7 +2138,7 @@ o 6 ) ) ) -*62 (CptPort +*61 (CptPort uid 997,0 ps "OnEdgeStrategy" shape (Triangle @@ -2192,7 +2173,7 @@ o 1 ) ) ) -*63 (CptPort +*62 (CptPort uid 1001,0 ps "OnEdgeStrategy" shape (Triangle @@ -2229,7 +2210,7 @@ o 7 ) ) ) -*64 (CptPort +*63 (CptPort uid 1005,0 ps "OnEdgeStrategy" shape (Triangle @@ -2264,7 +2245,7 @@ o 4 ) ) ) -*65 (CptPort +*64 (CptPort uid 1009,0 ps "OnEdgeStrategy" shape (Triangle @@ -2316,7 +2297,7 @@ uid 977,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*66 (Text +*65 (Text uid 978,0 va (VaSet font "Verdana,9,1" @@ -2326,7 +2307,7 @@ st "Cursor" blo "29900,83800" tm "BdLibraryNameMgr" ) -*67 (Text +*66 (Text uid 979,0 va (VaSet font "Verdana,9,1" @@ -2336,7 +2317,7 @@ st "cpt4bit" blo "29900,85000" tm "CptNameMgr" ) -*68 (Text +*67 (Text uid 980,0 va (VaSet font "Verdana,9,1" @@ -2384,10 +2365,10 @@ sF 0 ) archFileType "UNKNOWN" ) -*69 (SaComponent +*68 (SaComponent uid 1049,0 optionalChildren [ -*70 (CptPort +*69 (CptPort uid 1059,0 ps "OnEdgeStrategy" shape (Triangle @@ -2422,7 +2403,7 @@ o 2 ) ) ) -*71 (CptPort +*70 (CptPort uid 1063,0 ps "OnEdgeStrategy" shape (Triangle @@ -2457,7 +2438,7 @@ o 3 ) ) ) -*72 (CptPort +*71 (CptPort uid 1067,0 ps "OnEdgeStrategy" shape (Triangle @@ -2495,7 +2476,7 @@ o 6 ) ) ) -*73 (CptPort +*72 (CptPort uid 1071,0 ps "OnEdgeStrategy" shape (Triangle @@ -2530,7 +2511,7 @@ o 1 ) ) ) -*74 (CptPort +*73 (CptPort uid 1075,0 ps "OnEdgeStrategy" shape (Triangle @@ -2567,7 +2548,7 @@ o 7 ) ) ) -*75 (CptPort +*74 (CptPort uid 1079,0 ps "OnEdgeStrategy" shape (Triangle @@ -2602,7 +2583,7 @@ o 4 ) ) ) -*76 (CptPort +*75 (CptPort uid 1083,0 ps "OnEdgeStrategy" shape (Triangle @@ -2654,7 +2635,7 @@ uid 1051,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*77 (Text +*76 (Text uid 1052,0 va (VaSet font "Verdana,9,1" @@ -2664,7 +2645,7 @@ st "Cursor" blo "29900,103800" tm "BdLibraryNameMgr" ) -*78 (Text +*77 (Text uid 1053,0 va (VaSet font "Verdana,9,1" @@ -2674,7 +2655,7 @@ st "cpt4bit" blo "29900,105000" tm "CptNameMgr" ) -*79 (Text +*78 (Text uid 1054,0 va (VaSet font "Verdana,9,1" @@ -2722,10 +2703,10 @@ sF 0 ) archFileType "UNKNOWN" ) -*80 (SaComponent +*79 (SaComponent uid 1131,0 optionalChildren [ -*81 (CptPort +*80 (CptPort uid 1123,0 ps "OnEdgeStrategy" shape (Triangle @@ -2769,7 +2750,7 @@ suid 1,0 ) ) ) -*82 (CptPort +*81 (CptPort uid 1127,0 ps "OnEdgeStrategy" shape (Triangle @@ -2835,7 +2816,7 @@ uid 1133,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*83 (Text +*82 (Text uid 1134,0 va (VaSet font "Verdana,8,1" @@ -2845,7 +2826,7 @@ st "gates" blo "10910,27500" tm "BdLibraryNameMgr" ) -*84 (Text +*83 (Text uid 1135,0 va (VaSet font "Verdana,8,1" @@ -2855,7 +2836,7 @@ st "bufferUlogic" blo "10910,28500" tm "CptNameMgr" ) -*85 (Text +*84 (Text uid 1136,0 va (VaSet font "Verdana,8,1" @@ -2911,7 +2892,7 @@ sT 1 ) archFileType "UNKNOWN" ) -*86 (PortIoOut +*85 (PortIoOut uid 1427,0 shape (CompositeShape uid 1428,0 @@ -2955,14 +2936,14 @@ tm "WireNameMgr" ) ) ) -*87 (Net +*86 (Net uid 1439,0 lang 11 decl (Decl n "position20bit" t "unsigned" b "(19 DOWNTO 0)" -o 10 +o 6 suid 25,0 ) declText (MLText @@ -2975,7 +2956,102 @@ st "position20bit : unsigned(19 DOWNTO 0) " ) ) -*88 (Wire +*87 (Net +uid 1759,0 +lang 11 +decl (Decl +n "en1" +t "std_ulogic" +o 8 +suid 26,0 +) +declText (MLText +uid 1760,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,10000,40000,10800" +st "SIGNAL en1 : std_ulogic +" +) +) +*88 (Net +uid 1761,0 +lang 11 +decl (Decl +n "en2" +t "std_ulogic" +o 8 +suid 27,0 +) +declText (MLText +uid 1762,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,10800,40000,11600" +st "SIGNAL en2 : std_ulogic +" +) +) +*89 (Net +uid 1763,0 +lang 11 +decl (Decl +n "en3" +t "std_ulogic" +o 8 +suid 28,0 +) +declText (MLText +uid 1764,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,11600,40000,12400" +st "SIGNAL en3 : std_ulogic +" +) +) +*90 (Net +uid 1765,0 +lang 11 +decl (Decl +n "en4" +t "std_ulogic" +o 8 +suid 29,0 +) +declText (MLText +uid 1766,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,12400,40000,13200" +st "SIGNAL en4 : std_ulogic +" +) +) +*91 (Net +uid 1767,0 +lang 11 +decl (Decl +n "en0" +t "std_ulogic" +o 3 +suid 30,0 +) +declText (MLText +uid 1768,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,9200,40000,10000" +st "SIGNAL en0 : std_ulogic +" +) +) +*92 (Wire uid 15,0 shape (OrthoPolyLine uid 16,0 @@ -3011,7 +3087,7 @@ tm "WireNameMgr" ) on &2 ) -*89 (Wire +*93 (Wire uid 43,0 shape (OrthoPolyLine uid 44,0 @@ -3047,7 +3123,7 @@ tm "WireNameMgr" ) on &4 ) -*90 (Wire +*94 (Wire uid 57,0 shape (OrthoPolyLine uid 58,0 @@ -3083,7 +3159,7 @@ tm "WireNameMgr" ) on &6 ) -*91 (Wire +*95 (Wire uid 71,0 shape (OrthoPolyLine uid 72,0 @@ -3119,7 +3195,7 @@ tm "WireNameMgr" ) on &8 ) -*92 (Wire +*96 (Wire uid 85,0 shape (OrthoPolyLine uid 86,0 @@ -3133,7 +3209,7 @@ pts [ ] ) start &9 -end &81 +end &80 sat 32 eat 32 st 0 @@ -3156,7 +3232,7 @@ tm "WireNameMgr" ) on &10 ) -*93 (Wire +*97 (Wire uid 677,0 shape (OrthoPolyLine uid 678,0 @@ -3169,7 +3245,7 @@ pts [ "26250,30000" ] ) -end &31 +end &30 sat 16 eat 32 st 0 @@ -3191,7 +3267,7 @@ tm "WireNameMgr" ) on &8 ) -*94 (Wire +*98 (Wire uid 685,0 shape (OrthoPolyLine uid 686,0 @@ -3204,7 +3280,7 @@ pts [ "26250,17000" ] ) -end &32 +end &31 sat 16 eat 32 st 0 @@ -3226,7 +3302,7 @@ tm "WireNameMgr" ) on &22 ) -*95 (Wire +*99 (Wire uid 693,0 shape (OrthoPolyLine uid 694,0 @@ -3239,7 +3315,7 @@ pts [ "26250,19000" ] ) -end &29 +end &28 sat 16 eat 32 st 0 @@ -3261,7 +3337,7 @@ tm "WireNameMgr" ) on &6 ) -*96 (Wire +*100 (Wire uid 701,0 shape (OrthoPolyLine uid 702,0 @@ -3274,7 +3350,7 @@ pts [ "26250,29000" ] ) -end &26 +end &25 sat 16 eat 32 st 0 @@ -3296,7 +3372,7 @@ tm "WireNameMgr" ) on &2 ) -*97 (Wire +*101 (Wire uid 709,0 shape (OrthoPolyLine uid 710,0 @@ -3309,7 +3385,7 @@ pts [ "34000,13000" ] ) -start &30 +start &29 sat 32 eat 16 st 0 @@ -3332,7 +3408,7 @@ tm "WireNameMgr" ) on &23 ) -*98 (Wire +*102 (Wire uid 719,0 shape (OrthoPolyLine uid 720,0 @@ -3345,8 +3421,8 @@ pts [ "34000,33750" ] ) -start &41 -end &27 +start &40 +end &26 sat 32 eat 32 st 0 @@ -3361,15 +3437,15 @@ uid 726,0 ro 270 va (VaSet ) -xt "32800,32800,34000,35000" -st "eni" +xt "32800,32400,34000,35000" +st "en4" blo "33800,35000" tm "WireNameMgr" ) ) -on &24 +on &90 ) -*99 (Wire +*103 (Wire uid 859,0 shape (OrthoPolyLine uid 860,0 @@ -3382,7 +3458,7 @@ pts [ "26250,37000" ] ) -end &43 +end &42 sat 16 eat 32 st 0 @@ -3404,7 +3480,7 @@ tm "WireNameMgr" ) on &22 ) -*100 (Wire +*104 (Wire uid 865,0 shape (OrthoPolyLine uid 866,0 @@ -3417,7 +3493,7 @@ pts [ "26250,50000" ] ) -end &42 +end &41 sat 16 eat 32 st 0 @@ -3439,7 +3515,7 @@ tm "WireNameMgr" ) on &8 ) -*101 (Wire +*105 (Wire uid 871,0 shape (OrthoPolyLine uid 872,0 @@ -3452,7 +3528,7 @@ pts [ "26250,39000" ] ) -end &40 +end &39 sat 16 eat 32 st 0 @@ -3474,7 +3550,7 @@ tm "WireNameMgr" ) on &6 ) -*102 (Wire +*106 (Wire uid 877,0 shape (OrthoPolyLine uid 878,0 @@ -3487,7 +3563,7 @@ pts [ "26250,49000" ] ) -end &37 +end &36 sat 16 eat 32 st 0 @@ -3509,7 +3585,7 @@ tm "WireNameMgr" ) on &2 ) -*103 (Wire +*107 (Wire uid 889,0 shape (OrthoPolyLine uid 890,0 @@ -3522,8 +3598,8 @@ pts [ "34000,53750" ] ) -start &52 -end &38 +start &51 +end &37 sat 32 eat 32 st 0 @@ -3538,15 +3614,15 @@ uid 894,0 ro 270 va (VaSet ) -xt "32800,52800,34000,55000" -st "eni" +xt "32800,52400,34000,55000" +st "en3" blo "33800,55000" tm "WireNameMgr" ) ) -on &24 +on &89 ) -*104 (Wire +*108 (Wire uid 939,0 shape (OrthoPolyLine uid 940,0 @@ -3559,7 +3635,7 @@ pts [ "26250,70000" ] ) -end &53 +end &52 sat 16 eat 32 st 0 @@ -3581,7 +3657,7 @@ tm "WireNameMgr" ) on &8 ) -*105 (Wire +*109 (Wire uid 945,0 shape (OrthoPolyLine uid 946,0 @@ -3594,7 +3670,7 @@ pts [ "26250,57000" ] ) -end &54 +end &53 sat 16 eat 32 st 0 @@ -3616,7 +3692,7 @@ tm "WireNameMgr" ) on &22 ) -*106 (Wire +*110 (Wire uid 951,0 shape (OrthoPolyLine uid 952,0 @@ -3629,7 +3705,7 @@ pts [ "26250,59000" ] ) -end &51 +end &50 sat 16 eat 32 st 0 @@ -3651,7 +3727,7 @@ tm "WireNameMgr" ) on &6 ) -*107 (Wire +*111 (Wire uid 957,0 shape (OrthoPolyLine uid 958,0 @@ -3664,7 +3740,7 @@ pts [ "26250,69000" ] ) -end &48 +end &47 sat 16 eat 32 st 0 @@ -3686,7 +3762,7 @@ tm "WireNameMgr" ) on &2 ) -*108 (Wire +*112 (Wire uid 963,0 shape (OrthoPolyLine uid 964,0 @@ -3699,8 +3775,8 @@ pts [ "34000,73750" ] ) -start &63 -end &49 +start &62 +end &48 sat 32 eat 32 st 0 @@ -3715,15 +3791,15 @@ uid 968,0 ro 270 va (VaSet ) -xt "32800,72800,34000,75000" -st "eni" +xt "32800,72400,34000,75000" +st "en2" blo "33800,75000" tm "WireNameMgr" ) ) -on &24 +on &88 ) -*109 (Wire +*113 (Wire uid 1013,0 shape (OrthoPolyLine uid 1014,0 @@ -3736,7 +3812,7 @@ pts [ "26250,90000" ] ) -end &64 +end &63 sat 16 eat 32 st 0 @@ -3758,7 +3834,7 @@ tm "WireNameMgr" ) on &8 ) -*110 (Wire +*114 (Wire uid 1019,0 shape (OrthoPolyLine uid 1020,0 @@ -3771,7 +3847,7 @@ pts [ "26250,77000" ] ) -end &65 +end &64 sat 16 eat 32 st 0 @@ -3793,7 +3869,7 @@ tm "WireNameMgr" ) on &22 ) -*111 (Wire +*115 (Wire uid 1025,0 shape (OrthoPolyLine uid 1026,0 @@ -3806,7 +3882,7 @@ pts [ "26250,79000" ] ) -end &62 +end &61 sat 16 eat 32 st 0 @@ -3828,7 +3904,7 @@ tm "WireNameMgr" ) on &6 ) -*112 (Wire +*116 (Wire uid 1031,0 shape (OrthoPolyLine uid 1032,0 @@ -3841,7 +3917,7 @@ pts [ "26250,89000" ] ) -end &59 +end &58 sat 16 eat 32 st 0 @@ -3863,7 +3939,7 @@ tm "WireNameMgr" ) on &2 ) -*113 (Wire +*117 (Wire uid 1037,0 shape (OrthoPolyLine uid 1038,0 @@ -3876,8 +3952,8 @@ pts [ "34000,93750" ] ) -start &74 -end &60 +start &73 +end &59 sat 32 eat 32 st 0 @@ -3892,15 +3968,15 @@ uid 1042,0 ro 270 va (VaSet ) -xt "32800,92800,34000,95000" -st "eni" +xt "32800,92400,34000,95000" +st "en1" blo "33800,95000" tm "WireNameMgr" ) ) -on &24 +on &87 ) -*114 (Wire +*118 (Wire uid 1087,0 shape (OrthoPolyLine uid 1088,0 @@ -3913,7 +3989,7 @@ pts [ "26250,110000" ] ) -end &75 +end &74 sat 16 eat 32 st 0 @@ -3935,7 +4011,7 @@ tm "WireNameMgr" ) on &8 ) -*115 (Wire +*119 (Wire uid 1093,0 shape (OrthoPolyLine uid 1094,0 @@ -3948,7 +4024,7 @@ pts [ "26250,97000" ] ) -end &76 +end &75 sat 16 eat 32 st 0 @@ -3970,7 +4046,7 @@ tm "WireNameMgr" ) on &22 ) -*116 (Wire +*120 (Wire uid 1099,0 shape (OrthoPolyLine uid 1100,0 @@ -3983,7 +4059,7 @@ pts [ "26250,99000" ] ) -end &73 +end &72 sat 16 eat 32 st 0 @@ -4005,7 +4081,7 @@ tm "WireNameMgr" ) on &6 ) -*117 (Wire +*121 (Wire uid 1105,0 shape (OrthoPolyLine uid 1106,0 @@ -4018,7 +4094,7 @@ pts [ "26250,109000" ] ) -end &70 +end &69 sat 16 eat 32 st 0 @@ -4040,7 +4116,7 @@ tm "WireNameMgr" ) on &2 ) -*118 (Wire +*122 (Wire uid 1111,0 shape (OrthoPolyLine uid 1112,0 @@ -4053,7 +4129,7 @@ pts [ "34000,113750" ] ) -end &71 +end &70 sat 16 eat 32 st 0 @@ -4068,15 +4144,15 @@ uid 1116,0 ro 270 va (VaSet ) -xt "32800,113100,34000,115000" -st "en" +xt "32800,112400,34000,115000" +st "en0" blo "33800,115000" tm "WireNameMgr" ) ) -on &4 +on &91 ) -*119 (Wire +*123 (Wire uid 1145,0 shape (OrthoPolyLine uid 1146,0 @@ -4089,7 +4165,7 @@ pts [ "18000,24000" ] ) -start &82 +start &81 sat 32 eat 16 stc 0 @@ -4121,7 +4197,7 @@ tm "SignalTypeMgr" ) on &22 ) -*120 (Wire +*124 (Wire uid 1157,0 shape (OrthoPolyLine uid 1158,0 @@ -4136,8 +4212,8 @@ pts [ "58000,9000" ] ) -start &72 -end *121 (Ripper +start &71 +end *125 (Ripper uid 1161,0 ps "OnConnectorStrategy" shape (Line2D @@ -4175,9 +4251,9 @@ blo "42750,104800" tm "WireNameMgr" ) ) -on &87 +on &86 ) -*122 (Wire +*126 (Wire uid 1163,0 shape (OrthoPolyLine uid 1164,0 @@ -4192,8 +4268,8 @@ pts [ "55000,9000" ] ) -start &61 -end *123 (Ripper +start &60 +end *127 (Ripper uid 1167,0 ps "OnConnectorStrategy" shape (Line2D @@ -4231,9 +4307,9 @@ blo "42750,84800" tm "WireNameMgr" ) ) -on &87 +on &86 ) -*124 (Wire +*128 (Wire uid 1169,0 shape (OrthoPolyLine uid 1170,0 @@ -4248,8 +4324,8 @@ pts [ "52000,9000" ] ) -start &50 -end *125 (Ripper +start &49 +end *129 (Ripper uid 1173,0 ps "OnConnectorStrategy" shape (Line2D @@ -4287,9 +4363,9 @@ blo "42750,64800" tm "WireNameMgr" ) ) -on &87 +on &86 ) -*126 (Wire +*130 (Wire uid 1175,0 shape (OrthoPolyLine uid 1176,0 @@ -4304,8 +4380,8 @@ pts [ "49000,9000" ] ) -start &39 -end *127 (Ripper +start &38 +end *131 (Ripper uid 1179,0 ps "OnConnectorStrategy" shape (Line2D @@ -4343,9 +4419,9 @@ blo "42750,44800" tm "WireNameMgr" ) ) -on &87 +on &86 ) -*128 (Wire +*132 (Wire uid 1181,0 shape (OrthoPolyLine uid 1182,0 @@ -4360,8 +4436,8 @@ pts [ "46000,9000" ] ) -start &28 -end *129 (Ripper +start &27 +end *133 (Ripper uid 1185,0 ps "OnConnectorStrategy" shape (Line2D @@ -4399,16 +4475,16 @@ blo "42750,24800" tm "WireNameMgr" ) ) -on &87 +on &86 ) -*130 (Wire +*134 (Wire uid 1433,0 optionalChildren [ -&121 -&123 &125 &127 &129 +&131 +&133 ] shape (OrthoPolyLine uid 1434,0 @@ -4422,7 +4498,7 @@ pts [ "62000,8000" ] ) -end &86 +end &85 sat 16 eat 32 sty 1 @@ -4445,7 +4521,7 @@ blo "61000,7800" tm "WireNameMgr" ) ) -on &87 +on &86 ) ] bg "65535,65535,65535" @@ -4459,11 +4535,11 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *131 (PackageList +packageList *135 (PackageList uid 137,0 stg "VerticalLayoutStrategy" textVec [ -*132 (Text +*136 (Text uid 138,0 va (VaSet font "Verdana,9,1" @@ -4472,7 +4548,7 @@ xt "0,0,7600,1200" st "Package List" blo "0,1000" ) -*133 (MLText +*137 (MLText uid 139,0 va (VaSet ) @@ -4491,7 +4567,7 @@ compDirBlock (MlTextGroup uid 140,0 stg "VerticalLayoutStrategy" textVec [ -*134 (Text +*138 (Text uid 141,0 va (VaSet isHidden 1 @@ -4501,7 +4577,7 @@ xt "20000,0,30800,1200" st "Compiler Directives" blo "20000,1000" ) -*135 (Text +*139 (Text uid 142,0 va (VaSet isHidden 1 @@ -4511,7 +4587,7 @@ xt "20000,1200,33100,2400" st "Pre-module directives:" blo "20000,2200" ) -*136 (MLText +*140 (MLText uid 143,0 va (VaSet isHidden 1 @@ -4521,7 +4597,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*137 (Text +*141 (Text uid 144,0 va (VaSet isHidden 1 @@ -4531,7 +4607,7 @@ xt "20000,4800,33700,6000" st "Post-module directives:" blo "20000,5800" ) -*138 (MLText +*142 (MLText uid 145,0 va (VaSet isHidden 1 @@ -4539,7 +4615,7 @@ isHidden 1 xt "20000,0,20000,0" tm "BdCompilerDirectivesTextMgr" ) -*139 (Text +*143 (Text uid 146,0 va (VaSet isHidden 1 @@ -4549,7 +4625,7 @@ xt "20000,6000,33200,7200" st "End-module directives:" blo "20000,7000" ) -*140 (MLText +*144 (MLText uid 147,0 va (VaSet isHidden 1 @@ -4561,11 +4637,11 @@ tm "BdCompilerDirectivesTextMgr" associable 1 ) windowSize "0,24,1537,960" -viewArea "7700,-9700,87549,39588" +viewArea "7700,83020,87549,132308" cachedDiagramExtent "-9000,0,73100,118400" hasePageBreakOrigin 1 pageBreakOrigin "-10000,0" -lastUid 1679,0 +lastUid 1778,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -4655,7 +4731,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*141 (Text +*145 (Text va (VaSet font "Verdana,9,1" ) @@ -4664,7 +4740,7 @@ st "" blo "1300,4200" tm "BdLibraryNameMgr" ) -*142 (Text +*146 (Text va (VaSet font "Verdana,9,1" ) @@ -4673,7 +4749,7 @@ st "" blo "1300,5400" tm "BlkNameMgr" ) -*143 (Text +*147 (Text va (VaSet font "Verdana,9,1" ) @@ -4724,7 +4800,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*144 (Text +*148 (Text va (VaSet font "Verdana,9,1" ) @@ -4732,7 +4808,7 @@ xt "-350,3200,3750,4400" st "Library" blo "-350,4200" ) -*145 (Text +*149 (Text va (VaSet font "Verdana,9,1" ) @@ -4740,7 +4816,7 @@ xt "-350,4400,8350,5600" st "MWComponent" blo "-350,5400" ) -*146 (Text +*150 (Text va (VaSet font "Verdana,9,1" ) @@ -4789,7 +4865,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*147 (Text +*151 (Text va (VaSet font "Verdana,9,1" ) @@ -4798,7 +4874,7 @@ st "Library" blo "0,4200" tm "BdLibraryNameMgr" ) -*148 (Text +*152 (Text va (VaSet font "Verdana,9,1" ) @@ -4807,7 +4883,7 @@ st "SaComponent" blo "0,5400" tm "CptNameMgr" ) -*149 (Text +*153 (Text va (VaSet font "Verdana,9,1" ) @@ -4861,7 +4937,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*150 (Text +*154 (Text va (VaSet font "Verdana,9,1" ) @@ -4869,7 +4945,7 @@ xt "-500,3200,3600,4400" st "Library" blo "-500,4200" ) -*151 (Text +*155 (Text va (VaSet font "Verdana,9,1" ) @@ -4877,7 +4953,7 @@ xt "-500,4400,8500,5600" st "VhdlComponent" blo "-500,5400" ) -*152 (Text +*156 (Text va (VaSet font "Verdana,9,1" ) @@ -4922,7 +4998,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*153 (Text +*157 (Text va (VaSet font "Verdana,9,1" ) @@ -4930,7 +5006,7 @@ xt "-1150,3200,2950,4400" st "Library" blo "-1150,4200" ) -*154 (Text +*158 (Text va (VaSet font "Verdana,9,1" ) @@ -4938,7 +5014,7 @@ xt "-1150,4400,9150,5600" st "VerilogComponent" blo "-1150,5400" ) -*155 (Text +*159 (Text va (VaSet font "Verdana,9,1" ) @@ -4979,7 +5055,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*156 (Text +*160 (Text va (VaSet font "Verdana,9,1" ) @@ -4988,7 +5064,7 @@ st "eb1" blo "2800,4800" tm "HdlTextNameMgr" ) -*157 (Text +*161 (Text va (VaSet font "Verdana,9,1" ) @@ -5391,7 +5467,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*158 (Text +*162 (Text va (VaSet font "Verdana,9,1" ) @@ -5399,7 +5475,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*159 (MLText +*163 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -5451,7 +5527,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*160 (Text +*164 (Text va (VaSet font "Verdana,9,1" ) @@ -5459,7 +5535,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*161 (MLText +*165 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -5605,46 +5681,46 @@ tm "BdDeclarativeTextMgr" ) commonDM (CommonDM ldm (LogicalDM -suid 25,0 +suid 30,0 usingSuid 1 -emptyRow *162 (LEmptyRow +emptyRow *166 (LEmptyRow ) uid 150,0 optionalChildren [ -*163 (RefLabelRowHdr +*167 (RefLabelRowHdr ) -*164 (TitleRowHdr +*168 (TitleRowHdr ) -*165 (FilterRowHdr +*169 (FilterRowHdr ) -*166 (RefLabelColHdr +*170 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*167 (RowExpandColHdr +*171 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*168 (GroupColHdr +*172 (GroupColHdr tm "GroupColHdrMgr" ) -*169 (NameColHdr +*173 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*170 (ModeColHdr +*174 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*171 (TypeColHdr +*175 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*172 (BoundsColHdr +*176 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*173 (InitColHdr +*177 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*174 (EolColHdr +*178 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*175 (LeafLogPort +*179 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -5656,7 +5732,7 @@ suid 4,0 ) uid 93,0 ) -*176 (LeafLogPort +*180 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -5668,7 +5744,7 @@ suid 1,0 ) uid 95,0 ) -*177 (LeafLogPort +*181 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -5680,7 +5756,7 @@ suid 3,0 ) uid 97,0 ) -*178 (LeafLogPort +*182 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -5692,7 +5768,7 @@ suid 5,0 ) uid 99,0 ) -*179 (LeafLogPort +*183 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -5704,20 +5780,20 @@ suid 6,0 ) uid 101,0 ) -*180 (LeafLogPort +*184 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl n "up" t "std_ulogic" -o 10 +o 9 suid 13,0 ) ) uid 305,0 ) -*181 (LeafLogPort +*185 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -5730,20 +5806,7 @@ suid 18,0 ) uid 309,0 ) -*182 (LeafLogPort -port (LogicalPort -lang 11 -m 4 -decl (Decl -n "eni" -t "std_ulogic" -o 9 -suid 21,0 -) -) -uid 741,0 -) -*183 (LeafLogPort +*186 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -5751,12 +5814,77 @@ decl (Decl n "position20bit" t "unsigned" b "(19 DOWNTO 0)" -o 10 +o 6 suid 25,0 ) ) uid 1426,0 ) +*187 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "en1" +t "std_ulogic" +o 8 +suid 26,0 +) +) +uid 1769,0 +) +*188 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "en2" +t "std_ulogic" +o 8 +suid 27,0 +) +) +uid 1771,0 +) +*189 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "en3" +t "std_ulogic" +o 8 +suid 28,0 +) +) +uid 1773,0 +) +*190 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "en4" +t "std_ulogic" +o 8 +suid 29,0 +) +) +uid 1775,0 +) +*191 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "en0" +t "std_ulogic" +o 3 +suid 30,0 +) +) +uid 1777,0 +) ] ) pdm (PhysicalDM @@ -5764,7 +5892,7 @@ displayShortBounds 1 editShortBounds 1 uid 163,0 optionalChildren [ -*184 (Sheet +*192 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -5781,86 +5909,110 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *185 (MRCItem -litem &162 -pos 9 +emptyMRCItem *193 (MRCItem +litem &166 +pos 13 dimension 20 ) uid 165,0 optionalChildren [ -*186 (MRCItem -litem &163 +*194 (MRCItem +litem &167 pos 0 dimension 20 uid 166,0 ) -*187 (MRCItem -litem &164 +*195 (MRCItem +litem &168 pos 1 dimension 23 uid 167,0 ) -*188 (MRCItem -litem &165 +*196 (MRCItem +litem &169 pos 2 hidden 1 dimension 20 uid 168,0 ) -*189 (MRCItem -litem &175 +*197 (MRCItem +litem &179 pos 3 dimension 20 uid 94,0 ) -*190 (MRCItem -litem &176 +*198 (MRCItem +litem &180 pos 0 dimension 20 uid 96,0 ) -*191 (MRCItem -litem &177 +*199 (MRCItem +litem &181 pos 1 dimension 20 uid 98,0 ) -*192 (MRCItem -litem &178 +*200 (MRCItem +litem &182 pos 4 dimension 20 uid 100,0 ) -*193 (MRCItem -litem &179 +*201 (MRCItem +litem &183 pos 5 dimension 20 uid 102,0 ) -*194 (MRCItem -litem &180 +*202 (MRCItem +litem &184 pos 6 dimension 20 uid 306,0 ) -*195 (MRCItem -litem &181 +*203 (MRCItem +litem &185 pos 7 dimension 20 uid 310,0 ) -*196 (MRCItem -litem &182 -pos 8 -dimension 20 -uid 742,0 -) -*197 (MRCItem -litem &183 +*204 (MRCItem +litem &186 pos 2 dimension 20 uid 1425,0 ) +*205 (MRCItem +litem &187 +pos 8 +dimension 20 +uid 1770,0 +) +*206 (MRCItem +litem &188 +pos 9 +dimension 20 +uid 1772,0 +) +*207 (MRCItem +litem &189 +pos 10 +dimension 20 +uid 1774,0 +) +*208 (MRCItem +litem &190 +pos 11 +dimension 20 +uid 1776,0 +) +*209 (MRCItem +litem &191 +pos 12 +dimension 20 +uid 1778,0 +) ] ) sheetCol (SheetCol @@ -5872,50 +6024,50 @@ textAngle 90 ) uid 169,0 optionalChildren [ -*198 (MRCItem -litem &166 +*210 (MRCItem +litem &170 pos 0 dimension 20 uid 170,0 ) -*199 (MRCItem -litem &168 +*211 (MRCItem +litem &172 pos 1 dimension 50 uid 171,0 ) -*200 (MRCItem -litem &169 +*212 (MRCItem +litem &173 pos 2 dimension 100 uid 172,0 ) -*201 (MRCItem -litem &170 +*213 (MRCItem +litem &174 pos 3 dimension 50 uid 173,0 ) -*202 (MRCItem -litem &171 +*214 (MRCItem +litem &175 pos 4 dimension 100 uid 174,0 ) -*203 (MRCItem -litem &172 +*215 (MRCItem +litem &176 pos 5 dimension 100 uid 175,0 ) -*204 (MRCItem -litem &173 +*216 (MRCItem +litem &177 pos 6 dimension 50 uid 176,0 ) -*205 (MRCItem -litem &174 +*217 (MRCItem +litem &178 pos 7 dimension 80 uid 177,0 @@ -5935,38 +6087,38 @@ uid 149,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *206 (LEmptyRow +emptyRow *218 (LEmptyRow ) uid 179,0 optionalChildren [ -*207 (RefLabelRowHdr +*219 (RefLabelRowHdr ) -*208 (TitleRowHdr +*220 (TitleRowHdr ) -*209 (FilterRowHdr +*221 (FilterRowHdr ) -*210 (RefLabelColHdr +*222 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*211 (RowExpandColHdr +*223 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*212 (GroupColHdr +*224 (GroupColHdr tm "GroupColHdrMgr" ) -*213 (NameColHdr +*225 (NameColHdr tm "GenericNameColHdrMgr" ) -*214 (TypeColHdr +*226 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*215 (InitColHdr +*227 (InitColHdr tm "GenericValueColHdrMgr" ) -*216 (PragmaColHdr +*228 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*217 (EolColHdr +*229 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -5976,7 +6128,7 @@ displayShortBounds 1 editShortBounds 1 uid 191,0 optionalChildren [ -*218 (Sheet +*230 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -5993,27 +6145,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *219 (MRCItem -litem &206 +emptyMRCItem *231 (MRCItem +litem &218 pos 0 dimension 20 ) uid 193,0 optionalChildren [ -*220 (MRCItem -litem &207 +*232 (MRCItem +litem &219 pos 0 dimension 20 uid 194,0 ) -*221 (MRCItem -litem &208 +*233 (MRCItem +litem &220 pos 1 dimension 23 uid 195,0 ) -*222 (MRCItem -litem &209 +*234 (MRCItem +litem &221 pos 2 hidden 1 dimension 20 @@ -6030,44 +6182,44 @@ textAngle 90 ) uid 197,0 optionalChildren [ -*223 (MRCItem -litem &210 +*235 (MRCItem +litem &222 pos 0 dimension 20 uid 198,0 ) -*224 (MRCItem -litem &212 +*236 (MRCItem +litem &224 pos 1 dimension 50 uid 199,0 ) -*225 (MRCItem -litem &213 +*237 (MRCItem +litem &225 pos 2 dimension 100 uid 200,0 ) -*226 (MRCItem -litem &214 +*238 (MRCItem +litem &226 pos 3 dimension 100 uid 201,0 ) -*227 (MRCItem -litem &215 +*239 (MRCItem +litem &227 pos 4 dimension 50 uid 202,0 ) -*228 (MRCItem -litem &216 +*240 (MRCItem +litem &228 pos 5 dimension 50 uid 203,0 ) -*229 (MRCItem -litem &217 +*241 (MRCItem +litem &229 pos 6 dimension 80 uid 204,0 diff --git a/Cursor/hds/convertissor_position/interface b/Cursor/hds/convertissor_position/interface index 494eae5..c61ea22 100644 --- a/Cursor/hds/convertissor_position/interface +++ b/Cursor/hds/convertissor_position/interface @@ -15,10 +15,6 @@ unitName "numeric_std" library "gates" unitName "gates" ) -(DmPackageRef -library "ieee" -unitName "NUMERIC_SIGNED" -) ] libraryRefs [ "ieee" @@ -30,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 56,0 +suid 61,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -75,11 +71,11 @@ lang 11 decl (Decl n "clk" t "unsigned" -o 10 -suid 52,0 +o 7 +suid 57,0 ) ) -uid 858,0 +uid 916,0 ) *15 (LogPort port (LogicalPort @@ -87,11 +83,11 @@ lang 11 decl (Decl n "en" t "std_ulogic" -o 7 -suid 53,0 +o 8 +suid 58,0 ) ) -uid 860,0 +uid 918,0 ) *16 (LogPort port (LogicalPort @@ -102,10 +98,10 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 6 -suid 54,0 +suid 59,0 ) ) -uid 862,0 +uid 920,0 ) *17 (LogPort port (LogicalPort @@ -114,11 +110,11 @@ decl (Decl n "position20bit" t "unsigned" b "(19 DOWNTO 0)" -o 10 -suid 55,0 +o 9 +suid 60,0 ) ) -uid 864,0 +uid 922,0 ) *18 (LogPort port (LogicalPort @@ -126,11 +122,11 @@ lang 11 decl (Decl n "rst" t "unsigned" -o 11 -suid 56,0 +o 10 +suid 61,0 ) ) -uid 866,0 +uid 924,0 ) ] ) @@ -186,31 +182,31 @@ uid 85,0 litem &14 pos 0 dimension 20 -uid 859,0 +uid 917,0 ) *25 (MRCItem litem &15 pos 1 dimension 20 -uid 861,0 +uid 919,0 ) *26 (MRCItem litem &16 pos 2 dimension 20 -uid 863,0 +uid 921,0 ) *27 (MRCItem litem &17 pos 3 dimension 20 -uid 865,0 +uid 923,0 ) *28 (MRCItem litem &18 pos 4 dimension 20 -uid 867,0 +uid 925,0 ) ] ) @@ -537,7 +533,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:27:54" +value "14:56:15" ) (vvPair variable "group" @@ -609,7 +605,7 @@ value "interface" ) (vvPair variable "time" -value "14:27:54" +value "14:56:15" ) (vvPair variable "unit" @@ -644,10 +640,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *62 (CptPort -uid 833,0 +uid 891,0 ps "OnEdgeStrategy" shape (Triangle -uid 834,0 +uid 892,0 ro 90 va (VaSet vasetType 1 @@ -656,11 +652,11 @@ fg "0,65535,0" xt "14250,13625,15000,14375" ) tg (CPTG -uid 835,0 +uid 893,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 836,0 +uid 894,0 va (VaSet font "Verdana,12,0" ) @@ -671,7 +667,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 837,0 +uid 895,0 va (VaSet font "Courier New,8,0" ) @@ -684,16 +680,16 @@ lang 11 decl (Decl n "clk" t "unsigned" -o 10 -suid 52,0 +o 7 +suid 57,0 ) ) ) *63 (CptPort -uid 838,0 +uid 896,0 ps "OnEdgeStrategy" shape (Triangle -uid 839,0 +uid 897,0 ro 180 va (VaSet vasetType 1 @@ -702,11 +698,11 @@ fg "0,65535,0" xt "17625,5250,18375,6000" ) tg (CPTG -uid 840,0 +uid 898,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 841,0 +uid 899,0 ro 270 va (VaSet font "Verdana,12,0" @@ -719,7 +715,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 842,0 +uid 900,0 va (VaSet font "Courier New,8,0" ) @@ -732,16 +728,16 @@ lang 11 decl (Decl n "en" t "std_ulogic" -o 7 -suid 53,0 +o 8 +suid 58,0 ) ) ) *64 (CptPort -uid 843,0 +uid 901,0 ps "OnEdgeStrategy" shape (Triangle -uid 844,0 +uid 902,0 ro 90 va (VaSet vasetType 1 @@ -750,11 +746,11 @@ fg "0,65535,0" xt "23000,8625,23750,9375" ) tg (CPTG -uid 845,0 +uid 903,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 846,0 +uid 904,0 va (VaSet font "Verdana,12,0" ) @@ -766,7 +762,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 847,0 +uid 905,0 va (VaSet font "Courier New,8,0" ) @@ -782,15 +778,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 6 -suid 54,0 +suid 59,0 ) ) ) *65 (CptPort -uid 848,0 +uid 906,0 ps "OnEdgeStrategy" shape (Triangle -uid 849,0 +uid 907,0 ro 90 va (VaSet vasetType 1 @@ -799,11 +795,11 @@ fg "0,65535,0" xt "14250,8625,15000,9375" ) tg (CPTG -uid 850,0 +uid 908,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 851,0 +uid 909,0 va (VaSet font "Verdana,12,0" ) @@ -814,7 +810,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 852,0 +uid 910,0 va (VaSet font "Courier New,8,0" ) @@ -828,16 +824,16 @@ decl (Decl n "position20bit" t "unsigned" b "(19 DOWNTO 0)" -o 10 -suid 55,0 +o 9 +suid 60,0 ) ) ) *66 (CptPort -uid 853,0 +uid 911,0 ps "OnEdgeStrategy" shape (Triangle -uid 854,0 +uid 912,0 ro 90 va (VaSet vasetType 1 @@ -846,11 +842,11 @@ fg "0,65535,0" xt "14250,14625,15000,15375" ) tg (CPTG -uid 855,0 +uid 913,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 856,0 +uid 914,0 va (VaSet font "Verdana,12,0" ) @@ -861,7 +857,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 857,0 +uid 915,0 va (VaSet font "Courier New,8,0" ) @@ -874,8 +870,8 @@ lang 11 decl (Decl n "rst" t "unsigned" -o 11 -suid 56,0 +o 10 +suid 61,0 ) ) ) @@ -1305,13 +1301,12 @@ blo "0,1000" uid 50,0 va (VaSet ) -xt "0,1200,19000,8400" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; LIBRARY gates; -USE gates.gates.all; -USE ieee.NUMERIC_SIGNED.all;" +USE gates.gates.all;" tm "PackageList" ) ] @@ -1589,6 +1584,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 867,0 +lastUid 925,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/cursor@circuit/student@version.bd b/Cursor/hds/cursor@circuit/student@version.bd index c17e531..01be00f 100644 --- a/Cursor/hds/cursor@circuit/student@version.bd +++ b/Cursor/hds/cursor@circuit/student@version.bd @@ -16,7 +16,7 @@ instances [ (Instance name "I0" duLibraryName "Cursor" -duName "Position" +duName "PositionBlock" elements [ ] mwi 0 @@ -25,7 +25,7 @@ uid 11433,0 (Instance name "I1" duLibraryName "Cursor" -duName "Button" +duName "ButtonBlock" elements [ ] mwi 0 @@ -166,7 +166,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:39:31" +value "15:01:19" ) (vvPair variable "group" @@ -302,7 +302,7 @@ value "studentVersion" ) (vvPair variable "time" -value "14:39:31" +value "15:01:19" ) (vvPair variable "unit" @@ -2167,8 +2167,8 @@ tm "BdLibraryNameMgr" uid 11437,0 va (VaSet ) -xt "62950,12400,67750,13600" -st "Position" +xt "62950,12400,71250,13600" +st "PositionBlock" blo "62950,13400" tm "BlkNameMgr" ) @@ -2230,8 +2230,8 @@ tm "BdLibraryNameMgr" uid 11446,0 va (VaSet ) -xt "57950,42400,61950,43600" -st "Button" +xt "57950,42400,65450,43600" +st "ButtonBlock" blo "57950,43400" tm "BlkNameMgr" ) @@ -2289,7 +2289,7 @@ decl (Decl n "Position" t "unsigned" b "(15 DOWNTO 0)" -o 23 +o 22 suid 79,0 ) declText (MLText @@ -2308,7 +2308,7 @@ decl (Decl n "button" t "unsigned" b "(3 DOWNTO 0)" -o 25 +o 26 suid 81,0 ) declText (MLText @@ -2326,7 +2326,7 @@ lang 11 decl (Decl n "unlock" t "std_ulogic" -o 26 +o 27 suid 83,0 ) declText (MLText @@ -2344,7 +2344,7 @@ lang 11 decl (Decl n "SideL" t "std_ulogic" -o 27 +o 25 suid 86,0 ) declText (MLText @@ -2363,7 +2363,7 @@ decl (Decl n "Power" t "unsigned" b "(7 DOWNTO 0)" -o 26 +o 23 suid 87,0 ) declText (MLText @@ -2742,7 +2742,7 @@ thePort (LogicalPort lang 11 decl (Decl n "button" -t "std_uLogic_vector" +t "unsigned" b "(3 DOWNTO 0)" o 2 suid 75,0 @@ -3231,7 +3231,7 @@ decl (Decl n "testOut" t "std_uLogic_vector" b "(1 DOWNTO 0)" -o 29 +o 21 suid 91,0 ) declText (MLText @@ -4680,7 +4680,7 @@ tm "BdCompilerDirectivesTextMgr" associable 1 ) windowSize "0,0,1537,960" -viewArea "49400,346,130558,51930" +viewArea "26700,-14200,153673,66504" cachedDiagramExtent "-17000,-23800,171000,152000" pageSetupInfo (PageSetupInfo ptrCmd "\\\\ipp://ippsion.hevs.ch\\PREA309_HPLJ3005DN,winspool," @@ -4707,7 +4707,7 @@ boundaryWidth 0 ) hasePageBreakOrigin 1 pageBreakOrigin "24000,-12000" -lastUid 13141,0 +lastUid 13639,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -6009,7 +6009,7 @@ decl (Decl n "Position" t "unsigned" b "(15 DOWNTO 0)" -o 23 +o 22 suid 79,0 ) ) @@ -6023,7 +6023,7 @@ decl (Decl n "button" t "unsigned" b "(3 DOWNTO 0)" -o 25 +o 26 suid 81,0 ) ) @@ -6036,7 +6036,7 @@ m 4 decl (Decl n "unlock" t "std_ulogic" -o 26 +o 27 suid 83,0 ) ) @@ -6049,7 +6049,7 @@ m 4 decl (Decl n "SideL" t "std_ulogic" -o 27 +o 25 suid 86,0 ) ) @@ -6063,7 +6063,7 @@ decl (Decl n "Power" t "unsigned" b "(7 DOWNTO 0)" -o 26 +o 23 suid 87,0 ) ) @@ -6076,7 +6076,7 @@ decl (Decl n "testOut" t "std_uLogic_vector" b "(1 DOWNTO 0)" -o 29 +o 21 suid 91,0 ) ) @@ -6254,43 +6254,43 @@ uid 10033,0 ) *226 (MRCItem litem &194 -pos 20 +pos 21 dimension 20 uid 11582,0 ) *227 (MRCItem litem &195 -pos 21 +pos 22 dimension 20 uid 11584,0 ) *228 (MRCItem litem &196 -pos 22 +pos 23 dimension 20 uid 11586,0 ) *229 (MRCItem litem &197 -pos 23 +pos 24 dimension 20 uid 11588,0 ) *230 (MRCItem litem &198 -pos 24 +pos 25 dimension 20 uid 11654,0 ) *231 (MRCItem litem &199 -pos 25 +pos 26 dimension 20 uid 11656,0 ) *232 (MRCItem litem &200 -pos 26 +pos 20 dimension 20 uid 12785,0 ) diff --git a/Cursor/hds/cursor@circuit/symbol.sb b/Cursor/hds/cursor@circuit/symbol.sb index 09334b7..851a19b 100644 --- a/Cursor/hds/cursor@circuit/symbol.sb +++ b/Cursor/hds/cursor@circuit/symbol.sb @@ -893,7 +893,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:39:31" +value "14:54:18" ) (vvPair variable "group" @@ -1029,7 +1029,7 @@ value "symbol" ) (vvPair variable "time" -value "14:39:31" +value "14:54:18" ) (vvPair variable "unit" @@ -2945,7 +2945,7 @@ xt "0,9900,0,9900" tm "SyDeclarativeTextMgr" ) ) -lastUid 1039,0 +lastUid 1108,0 okToSyncOnLoad 1 OkToSyncGenericsOnLoad 1 activeModelName "Symbol" diff --git a/Cursor/hds/selector_acceleration/fsm.sm b/Cursor/hds/selector_acceleration/fsm.sm index fa03d27..b02d946 100644 --- a/Cursor/hds/selector_acceleration/fsm.sm +++ b/Cursor/hds/selector_acceleration/fsm.sm @@ -130,7 +130,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:37:51" +value "14:44:17" ) (vvPair variable "group" @@ -202,7 +202,7 @@ value "fsm" ) (vvPair variable "time" -value "14:37:51" +value "14:44:17" ) (vvPair variable "unit" @@ -779,7 +779,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,46000,46000,47000" +xt "36200,46000,45400,47000" st " by %user on %dd %month %year " @@ -3670,7 +3670,7 @@ stateOrder [ name "csm" ) ] -lastUid 701,0 +lastUid 730,0 commonDM (CommonDM ldm (LogicalDM emptyRow *71 (LEmptyRow @@ -3745,7 +3745,7 @@ port (LogicalPort lang 11 decl (Decl n "button" -t "std_uLogic_vector" +t "unsigned" b "(3 DOWNTO 0)" o 2 ) diff --git a/Cursor/hds/selector_acceleration/interface b/Cursor/hds/selector_acceleration/interface index 13401a6..2a166ef 100644 --- a/Cursor/hds/selector_acceleration/interface +++ b/Cursor/hds/selector_acceleration/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 90,0 +suid 99,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -70,13 +70,13 @@ port (LogicalPort lang 11 decl (Decl n "button" -t "std_uLogic_vector" +t "unsigned" b "(3 DOWNTO 0)" o 2 -suid 82,0 +suid 91,0 ) ) -uid 1111,0 +uid 1220,0 ) *15 (LogPort port (LogicalPort @@ -85,10 +85,10 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 83,0 +suid 92,0 ) ) -uid 1113,0 +uid 1222,0 ) *16 (LogPort port (LogicalPort @@ -99,10 +99,10 @@ n "info_acceleration" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 16 -suid 84,0 +suid 93,0 ) ) -uid 1115,0 +uid 1224,0 ) *17 (LogPort port (LogicalPort @@ -112,10 +112,10 @@ n "pos1" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 85,0 +suid 94,0 ) ) -uid 1117,0 +uid 1226,0 ) *18 (LogPort port (LogicalPort @@ -125,10 +125,10 @@ n "pos2" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 86,0 +suid 95,0 ) ) -uid 1119,0 +uid 1228,0 ) *19 (LogPort port (LogicalPort @@ -138,10 +138,10 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 87,0 +suid 96,0 ) ) -uid 1121,0 +uid 1230,0 ) *20 (LogPort port (LogicalPort @@ -151,10 +151,10 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 88,0 +suid 97,0 ) ) -uid 1123,0 +uid 1232,0 ) *21 (LogPort port (LogicalPort @@ -163,10 +163,10 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 89,0 +suid 98,0 ) ) -uid 1125,0 +uid 1234,0 ) *22 (LogPort port (LogicalPort @@ -176,10 +176,10 @@ decl (Decl n "skip_acceleration" t "std_ulogic" o 29 -suid 90,0 +suid 99,0 ) ) -uid 1127,0 +uid 1236,0 ) ] ) @@ -235,55 +235,55 @@ uid 113,0 litem &14 pos 0 dimension 20 -uid 1112,0 +uid 1221,0 ) *29 (MRCItem litem &15 pos 1 dimension 20 -uid 1114,0 +uid 1223,0 ) *30 (MRCItem litem &16 pos 2 dimension 20 -uid 1116,0 +uid 1225,0 ) *31 (MRCItem litem &17 pos 3 dimension 20 -uid 1118,0 +uid 1227,0 ) *32 (MRCItem litem &18 pos 4 dimension 20 -uid 1120,0 +uid 1229,0 ) *33 (MRCItem litem &19 pos 5 dimension 20 -uid 1122,0 +uid 1231,0 ) *34 (MRCItem litem &20 pos 6 dimension 20 -uid 1124,0 +uid 1233,0 ) *35 (MRCItem litem &21 pos 7 dimension 20 -uid 1126,0 +uid 1235,0 ) *36 (MRCItem litem &22 pos 8 dimension 20 -uid 1128,0 +uid 1237,0 ) ] ) @@ -610,7 +610,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:37:58" +value "14:44:45" ) (vvPair variable "group" @@ -682,7 +682,7 @@ value "interface" ) (vvPair variable "time" -value "14:37:58" +value "14:44:45" ) (vvPair variable "unit" @@ -717,10 +717,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *70 (CptPort -uid 1066,0 +uid 1175,0 ps "OnEdgeStrategy" shape (Triangle -uid 1067,0 +uid 1176,0 ro 180 va (VaSet vasetType 1 @@ -729,11 +729,11 @@ fg "0,65535,0" xt "16625,5250,17375,6000" ) tg (CPTG -uid 1068,0 +uid 1177,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1069,0 +uid 1178,0 ro 270 va (VaSet font "Verdana,12,0" @@ -746,30 +746,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1070,0 +uid 1179,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,75500,4000" -st "button : IN std_uLogic_vector (3 DOWNTO 0) ; +xt "44000,3200,71000,4000" +st "button : IN unsigned (3 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "button" -t "std_uLogic_vector" +t "unsigned" b "(3 DOWNTO 0)" o 2 -suid 82,0 +suid 91,0 ) ) ) *71 (CptPort -uid 1071,0 +uid 1180,0 ps "OnEdgeStrategy" shape (Triangle -uid 1072,0 +uid 1181,0 ro 180 va (VaSet vasetType 1 @@ -778,11 +778,11 @@ fg "0,65535,0" xt "19625,5250,20375,6000" ) tg (CPTG -uid 1073,0 +uid 1182,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1074,0 +uid 1183,0 ro 270 va (VaSet font "Verdana,12,0" @@ -795,7 +795,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1075,0 +uid 1184,0 va (VaSet font "Courier New,8,0" ) @@ -809,15 +809,15 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 83,0 +suid 92,0 ) ) ) *72 (CptPort -uid 1076,0 +uid 1185,0 ps "OnEdgeStrategy" shape (Triangle -uid 1077,0 +uid 1186,0 ro 180 va (VaSet vasetType 1 @@ -826,11 +826,11 @@ fg "0,65535,0" xt "16625,13000,17375,13750" ) tg (CPTG -uid 1078,0 +uid 1187,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1079,0 +uid 1188,0 ro 270 va (VaSet font "Verdana,12,0" @@ -842,7 +842,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1080,0 +uid 1189,0 va (VaSet font "Courier New,8,0" ) @@ -858,15 +858,15 @@ n "info_acceleration" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 16 -suid 84,0 +suid 93,0 ) ) ) *73 (CptPort -uid 1081,0 +uid 1190,0 ps "OnEdgeStrategy" shape (Triangle -uid 1082,0 +uid 1191,0 ro 90 va (VaSet vasetType 1 @@ -875,11 +875,11 @@ fg "0,65535,0" xt "14250,11625,15000,12375" ) tg (CPTG -uid 1083,0 +uid 1192,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1084,0 +uid 1193,0 va (VaSet font "Verdana,12,0" ) @@ -890,7 +890,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1085,0 +uid 1194,0 va (VaSet font "Courier New,8,0" ) @@ -905,15 +905,15 @@ n "pos1" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 85,0 +suid 94,0 ) ) ) *74 (CptPort -uid 1086,0 +uid 1195,0 ps "OnEdgeStrategy" shape (Triangle -uid 1087,0 +uid 1196,0 ro 90 va (VaSet vasetType 1 @@ -922,11 +922,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 1088,0 +uid 1197,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1089,0 +uid 1198,0 va (VaSet font "Verdana,12,0" ) @@ -937,7 +937,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1090,0 +uid 1199,0 va (VaSet font "Courier New,8,0" ) @@ -952,15 +952,15 @@ n "pos2" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 86,0 +suid 95,0 ) ) ) *75 (CptPort -uid 1091,0 +uid 1200,0 ps "OnEdgeStrategy" shape (Triangle -uid 1092,0 +uid 1201,0 ro 90 va (VaSet vasetType 1 @@ -969,11 +969,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 1093,0 +uid 1202,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1094,0 +uid 1203,0 va (VaSet font "Verdana,12,0" ) @@ -984,7 +984,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1095,0 +uid 1204,0 va (VaSet font "Courier New,8,0" ) @@ -999,15 +999,15 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 87,0 +suid 96,0 ) ) ) *76 (CptPort -uid 1096,0 +uid 1205,0 ps "OnEdgeStrategy" shape (Triangle -uid 1097,0 +uid 1206,0 ro 90 va (VaSet vasetType 1 @@ -1016,11 +1016,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 1098,0 +uid 1207,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1099,0 +uid 1208,0 va (VaSet font "Verdana,12,0" ) @@ -1031,7 +1031,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1100,0 +uid 1209,0 va (VaSet font "Courier New,8,0" ) @@ -1046,15 +1046,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 88,0 +suid 97,0 ) ) ) *77 (CptPort -uid 1101,0 +uid 1210,0 ps "OnEdgeStrategy" shape (Triangle -uid 1102,0 +uid 1211,0 ro 180 va (VaSet vasetType 1 @@ -1063,11 +1063,11 @@ fg "0,65535,0" xt "21625,5250,22375,6000" ) tg (CPTG -uid 1103,0 +uid 1212,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1104,0 +uid 1213,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1080,7 +1080,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1105,0 +uid 1214,0 va (VaSet font "Courier New,8,0" ) @@ -1094,15 +1094,15 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 89,0 +suid 98,0 ) ) ) *78 (CptPort -uid 1106,0 +uid 1215,0 ps "OnEdgeStrategy" shape (Triangle -uid 1107,0 +uid 1216,0 ro 180 va (VaSet vasetType 1 @@ -1111,11 +1111,11 @@ fg "0,65535,0" xt "19625,13000,20375,13750" ) tg (CPTG -uid 1108,0 +uid 1217,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1109,0 +uid 1218,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1127,7 +1127,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1110,0 +uid 1219,0 va (VaSet font "Courier New,8,0" ) @@ -1142,7 +1142,7 @@ decl (Decl n "skip_acceleration" t "std_ulogic" o 29 -suid 90,0 +suid 99,0 ) ) ) @@ -1855,6 +1855,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1128,0 +lastUid 1237,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/selector_cruse/fsm.sm b/Cursor/hds/selector_cruse/fsm.sm index d0aeca4..db66c2b 100644 --- a/Cursor/hds/selector_cruse/fsm.sm +++ b/Cursor/hds/selector_cruse/fsm.sm @@ -130,7 +130,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:37:32" +value "14:44:28" ) (vvPair variable "group" @@ -202,7 +202,7 @@ value "fsm" ) (vvPair variable "time" -value "14:37:32" +value "14:44:28" ) (vvPair variable "unit" @@ -779,7 +779,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,46000,46000,47000" +xt "36200,46000,45400,47000" st " by %user on %dd %month %year " @@ -3668,7 +3668,7 @@ stateOrder [ name "csm" ) ] -lastUid 511,0 +lastUid 540,0 commonDM (CommonDM ldm (LogicalDM emptyRow *71 (LEmptyRow @@ -3743,7 +3743,7 @@ port (LogicalPort lang 11 decl (Decl n "button" -t "std_uLogic_vector" +t "unsigned" b "(3 DOWNTO 0)" o 2 ) diff --git a/Cursor/hds/selector_cruse/interface b/Cursor/hds/selector_cruse/interface index 4d98e63..f45d11e 100644 --- a/Cursor/hds/selector_cruse/interface +++ b/Cursor/hds/selector_cruse/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 72,0 +suid 80,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -70,13 +70,13 @@ port (LogicalPort lang 11 decl (Decl n "button" -t "std_uLogic_vector" +t "unsigned" b "(3 DOWNTO 0)" o 2 -suid 65,0 +suid 73,0 ) ) -uid 941,0 +uid 1043,0 ) *15 (LogPort port (LogicalPort @@ -85,10 +85,10 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 66,0 +suid 74,0 ) ) -uid 943,0 +uid 1045,0 ) *16 (LogPort port (LogicalPort @@ -99,10 +99,10 @@ n "info_cruse" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 17 -suid 67,0 +suid 75,0 ) ) -uid 945,0 +uid 1047,0 ) *17 (LogPort port (LogicalPort @@ -112,10 +112,10 @@ n "pos1" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 68,0 +suid 76,0 ) ) -uid 947,0 +uid 1049,0 ) *18 (LogPort port (LogicalPort @@ -125,10 +125,10 @@ n "pos2" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 69,0 +suid 77,0 ) ) -uid 949,0 +uid 1051,0 ) *19 (LogPort port (LogicalPort @@ -138,10 +138,10 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 70,0 +suid 78,0 ) ) -uid 951,0 +uid 1053,0 ) *20 (LogPort port (LogicalPort @@ -151,10 +151,10 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 71,0 +suid 79,0 ) ) -uid 953,0 +uid 1055,0 ) *21 (LogPort port (LogicalPort @@ -163,10 +163,10 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 72,0 +suid 80,0 ) ) -uid 955,0 +uid 1057,0 ) ] ) @@ -222,49 +222,49 @@ uid 127,0 litem &14 pos 0 dimension 20 -uid 942,0 +uid 1044,0 ) *28 (MRCItem litem &15 pos 1 dimension 20 -uid 944,0 +uid 1046,0 ) *29 (MRCItem litem &16 pos 2 dimension 20 -uid 946,0 +uid 1048,0 ) *30 (MRCItem litem &17 pos 3 dimension 20 -uid 948,0 +uid 1050,0 ) *31 (MRCItem litem &18 pos 4 dimension 20 -uid 950,0 +uid 1052,0 ) *32 (MRCItem litem &19 pos 5 dimension 20 -uid 952,0 +uid 1054,0 ) *33 (MRCItem litem &20 pos 6 dimension 20 -uid 954,0 +uid 1056,0 ) *34 (MRCItem litem &21 pos 7 dimension 20 -uid 956,0 +uid 1058,0 ) ] ) @@ -591,7 +591,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:37:58" +value "14:44:45" ) (vvPair variable "group" @@ -663,7 +663,7 @@ value "interface" ) (vvPair variable "time" -value "14:37:58" +value "14:44:45" ) (vvPair variable "unit" @@ -698,10 +698,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *68 (CptPort -uid 901,0 +uid 1003,0 ps "OnEdgeStrategy" shape (Triangle -uid 902,0 +uid 1004,0 ro 180 va (VaSet vasetType 1 @@ -710,11 +710,11 @@ fg "0,65535,0" xt "16625,5250,17375,6000" ) tg (CPTG -uid 903,0 +uid 1005,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 904,0 +uid 1006,0 ro 270 va (VaSet font "Verdana,12,0" @@ -727,30 +727,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 905,0 +uid 1007,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,72000,4000" -st "button : IN std_uLogic_vector (3 DOWNTO 0) ; +xt "44000,3200,67500,4000" +st "button : IN unsigned (3 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "button" -t "std_uLogic_vector" +t "unsigned" b "(3 DOWNTO 0)" o 2 -suid 65,0 +suid 73,0 ) ) ) *69 (CptPort -uid 906,0 +uid 1008,0 ps "OnEdgeStrategy" shape (Triangle -uid 907,0 +uid 1009,0 ro 180 va (VaSet vasetType 1 @@ -759,11 +759,11 @@ fg "0,65535,0" xt "19625,5250,20375,6000" ) tg (CPTG -uid 908,0 +uid 1010,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 909,0 +uid 1011,0 ro 270 va (VaSet font "Verdana,12,0" @@ -776,7 +776,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 910,0 +uid 1012,0 va (VaSet font "Courier New,8,0" ) @@ -790,15 +790,15 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 66,0 +suid 74,0 ) ) ) *70 (CptPort -uid 911,0 +uid 1013,0 ps "OnEdgeStrategy" shape (Triangle -uid 912,0 +uid 1014,0 ro 180 va (VaSet vasetType 1 @@ -807,11 +807,11 @@ fg "0,65535,0" xt "16625,13000,17375,13750" ) tg (CPTG -uid 913,0 +uid 1015,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 914,0 +uid 1016,0 ro 270 va (VaSet font "Verdana,12,0" @@ -823,7 +823,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 915,0 +uid 1017,0 va (VaSet font "Courier New,8,0" ) @@ -839,15 +839,15 @@ n "info_cruse" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 17 -suid 67,0 +suid 75,0 ) ) ) *71 (CptPort -uid 916,0 +uid 1018,0 ps "OnEdgeStrategy" shape (Triangle -uid 917,0 +uid 1019,0 ro 90 va (VaSet vasetType 1 @@ -856,11 +856,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 918,0 +uid 1020,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 919,0 +uid 1021,0 va (VaSet font "Verdana,12,0" ) @@ -871,7 +871,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 920,0 +uid 1022,0 va (VaSet font "Courier New,8,0" ) @@ -886,15 +886,15 @@ n "pos1" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 68,0 +suid 76,0 ) ) ) *72 (CptPort -uid 921,0 +uid 1023,0 ps "OnEdgeStrategy" shape (Triangle -uid 922,0 +uid 1024,0 ro 90 va (VaSet vasetType 1 @@ -903,11 +903,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 923,0 +uid 1025,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 924,0 +uid 1026,0 va (VaSet font "Verdana,12,0" ) @@ -918,7 +918,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 925,0 +uid 1027,0 va (VaSet font "Courier New,8,0" ) @@ -933,15 +933,15 @@ n "pos2" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 69,0 +suid 77,0 ) ) ) *73 (CptPort -uid 926,0 +uid 1028,0 ps "OnEdgeStrategy" shape (Triangle -uid 927,0 +uid 1029,0 ro 90 va (VaSet vasetType 1 @@ -950,11 +950,11 @@ fg "0,65535,0" xt "14250,8625,15000,9375" ) tg (CPTG -uid 928,0 +uid 1030,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 929,0 +uid 1031,0 va (VaSet font "Verdana,12,0" ) @@ -965,7 +965,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 930,0 +uid 1032,0 va (VaSet font "Courier New,8,0" ) @@ -980,15 +980,15 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 70,0 +suid 78,0 ) ) ) *74 (CptPort -uid 931,0 +uid 1033,0 ps "OnEdgeStrategy" shape (Triangle -uid 932,0 +uid 1034,0 ro 90 va (VaSet vasetType 1 @@ -997,11 +997,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 933,0 +uid 1035,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 934,0 +uid 1036,0 va (VaSet font "Verdana,12,0" ) @@ -1012,7 +1012,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 935,0 +uid 1037,0 va (VaSet font "Courier New,8,0" ) @@ -1027,15 +1027,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 71,0 +suid 79,0 ) ) ) *75 (CptPort -uid 936,0 +uid 1038,0 ps "OnEdgeStrategy" shape (Triangle -uid 937,0 +uid 1039,0 ro 180 va (VaSet vasetType 1 @@ -1044,11 +1044,11 @@ fg "0,65535,0" xt "21625,5250,22375,6000" ) tg (CPTG -uid 938,0 +uid 1040,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 939,0 +uid 1041,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1061,7 +1061,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 940,0 +uid 1042,0 va (VaSet font "Courier New,8,0" ) @@ -1075,7 +1075,7 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 72,0 +suid 80,0 ) ) ) @@ -1788,6 +1788,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 956,0 +lastUid 1058,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/selector_deceleration/fsm.sm b/Cursor/hds/selector_deceleration/fsm.sm index a6a5660..511e1a1 100644 --- a/Cursor/hds/selector_deceleration/fsm.sm +++ b/Cursor/hds/selector_deceleration/fsm.sm @@ -130,7 +130,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:37:13" +value "14:44:39" ) (vvPair variable "group" @@ -202,7 +202,7 @@ value "fsm" ) (vvPair variable "time" -value "14:37:13" +value "14:44:39" ) (vvPair variable "unit" @@ -620,7 +620,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,46000,46000,47000" +xt "36200,46000,45400,47000" st " by %user on %dd %month %year " @@ -2665,7 +2665,7 @@ stateOrder [ name "csm" ) ] -lastUid 558,0 +lastUid 587,0 commonDM (CommonDM ldm (LogicalDM emptyRow *63 (LEmptyRow @@ -2740,7 +2740,7 @@ port (LogicalPort lang 11 decl (Decl n "button" -t "std_uLogic_vector" +t "unsigned" b "(3 DOWNTO 0)" o 2 ) diff --git a/Cursor/hds/selector_deceleration/interface b/Cursor/hds/selector_deceleration/interface index 1b8f608..4665cc9 100644 --- a/Cursor/hds/selector_deceleration/interface +++ b/Cursor/hds/selector_deceleration/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 80,0 +suid 89,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -70,13 +70,13 @@ port (LogicalPort lang 11 decl (Decl n "button" -t "std_uLogic_vector" +t "unsigned" b "(3 DOWNTO 0)" o 2 -suid 72,0 +suid 81,0 ) ) -uid 949,0 +uid 1058,0 ) *15 (LogPort port (LogicalPort @@ -85,10 +85,10 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 73,0 +suid 82,0 ) ) -uid 951,0 +uid 1060,0 ) *16 (LogPort port (LogicalPort @@ -99,10 +99,10 @@ n "info_deceleration" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 18 -suid 74,0 +suid 83,0 ) ) -uid 953,0 +uid 1062,0 ) *17 (LogPort port (LogicalPort @@ -112,10 +112,10 @@ n "pos1" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 75,0 +suid 84,0 ) ) -uid 955,0 +uid 1064,0 ) *18 (LogPort port (LogicalPort @@ -125,10 +125,10 @@ n "pos2" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 76,0 +suid 85,0 ) ) -uid 957,0 +uid 1066,0 ) *19 (LogPort port (LogicalPort @@ -138,10 +138,10 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 77,0 +suid 86,0 ) ) -uid 959,0 +uid 1068,0 ) *20 (LogPort port (LogicalPort @@ -151,10 +151,10 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 78,0 +suid 87,0 ) ) -uid 961,0 +uid 1070,0 ) *21 (LogPort port (LogicalPort @@ -163,10 +163,10 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 79,0 +suid 88,0 ) ) -uid 963,0 +uid 1072,0 ) *22 (LogPort port (LogicalPort @@ -176,10 +176,10 @@ decl (Decl n "skip_deceleration" t "std_uLogic" o 30 -suid 80,0 +suid 89,0 ) ) -uid 965,0 +uid 1074,0 ) ] ) @@ -235,55 +235,55 @@ uid 127,0 litem &14 pos 0 dimension 20 -uid 950,0 +uid 1059,0 ) *29 (MRCItem litem &15 pos 1 dimension 20 -uid 952,0 +uid 1061,0 ) *30 (MRCItem litem &16 pos 2 dimension 20 -uid 954,0 +uid 1063,0 ) *31 (MRCItem litem &17 pos 3 dimension 20 -uid 956,0 +uid 1065,0 ) *32 (MRCItem litem &18 pos 4 dimension 20 -uid 958,0 +uid 1067,0 ) *33 (MRCItem litem &19 pos 5 dimension 20 -uid 960,0 +uid 1069,0 ) *34 (MRCItem litem &20 pos 6 dimension 20 -uid 962,0 +uid 1071,0 ) *35 (MRCItem litem &21 pos 7 dimension 20 -uid 964,0 +uid 1073,0 ) *36 (MRCItem litem &22 pos 8 dimension 20 -uid 966,0 +uid 1075,0 ) ] ) @@ -610,7 +610,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:37:58" +value "14:44:45" ) (vvPair variable "group" @@ -682,7 +682,7 @@ value "interface" ) (vvPair variable "time" -value "14:37:58" +value "14:44:45" ) (vvPair variable "unit" @@ -717,10 +717,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *70 (CptPort -uid 904,0 +uid 1013,0 ps "OnEdgeStrategy" shape (Triangle -uid 905,0 +uid 1014,0 ro 180 va (VaSet vasetType 1 @@ -729,11 +729,11 @@ fg "0,65535,0" xt "15625,5250,16375,6000" ) tg (CPTG -uid 906,0 +uid 1015,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 907,0 +uid 1016,0 ro 270 va (VaSet font "Verdana,12,0" @@ -746,30 +746,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 908,0 +uid 1017,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,75500,4000" -st "button : IN std_uLogic_vector (3 DOWNTO 0) ; +xt "44000,3200,71000,4000" +st "button : IN unsigned (3 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "button" -t "std_uLogic_vector" +t "unsigned" b "(3 DOWNTO 0)" o 2 -suid 72,0 +suid 81,0 ) ) ) *71 (CptPort -uid 909,0 +uid 1018,0 ps "OnEdgeStrategy" shape (Triangle -uid 910,0 +uid 1019,0 ro 180 va (VaSet vasetType 1 @@ -778,11 +778,11 @@ fg "0,65535,0" xt "18625,5250,19375,6000" ) tg (CPTG -uid 911,0 +uid 1020,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 912,0 +uid 1021,0 ro 270 va (VaSet font "Verdana,12,0" @@ -795,7 +795,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 913,0 +uid 1022,0 va (VaSet font "Courier New,8,0" ) @@ -809,15 +809,15 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 73,0 +suid 82,0 ) ) ) *72 (CptPort -uid 914,0 +uid 1023,0 ps "OnEdgeStrategy" shape (Triangle -uid 915,0 +uid 1024,0 ro 180 va (VaSet vasetType 1 @@ -826,11 +826,11 @@ fg "0,65535,0" xt "16625,13000,17375,13750" ) tg (CPTG -uid 916,0 +uid 1025,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 917,0 +uid 1026,0 ro 270 va (VaSet font "Verdana,12,0" @@ -842,7 +842,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 918,0 +uid 1027,0 va (VaSet font "Courier New,8,0" ) @@ -858,15 +858,15 @@ n "info_deceleration" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 18 -suid 74,0 +suid 83,0 ) ) ) *73 (CptPort -uid 919,0 +uid 1028,0 ps "OnEdgeStrategy" shape (Triangle -uid 920,0 +uid 1029,0 ro 90 va (VaSet vasetType 1 @@ -875,11 +875,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 921,0 +uid 1030,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 922,0 +uid 1031,0 va (VaSet font "Verdana,12,0" ) @@ -890,7 +890,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 923,0 +uid 1032,0 va (VaSet font "Courier New,8,0" ) @@ -905,15 +905,15 @@ n "pos1" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 75,0 +suid 84,0 ) ) ) *74 (CptPort -uid 924,0 +uid 1033,0 ps "OnEdgeStrategy" shape (Triangle -uid 925,0 +uid 1034,0 ro 90 va (VaSet vasetType 1 @@ -922,11 +922,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 926,0 +uid 1035,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 927,0 +uid 1036,0 va (VaSet font "Verdana,12,0" ) @@ -937,7 +937,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 928,0 +uid 1037,0 va (VaSet font "Courier New,8,0" ) @@ -952,15 +952,15 @@ n "pos2" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 76,0 +suid 85,0 ) ) ) *75 (CptPort -uid 929,0 +uid 1038,0 ps "OnEdgeStrategy" shape (Triangle -uid 930,0 +uid 1039,0 ro 90 va (VaSet vasetType 1 @@ -969,11 +969,11 @@ fg "0,65535,0" xt "14250,8625,15000,9375" ) tg (CPTG -uid 931,0 +uid 1040,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 932,0 +uid 1041,0 va (VaSet font "Verdana,12,0" ) @@ -984,7 +984,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 933,0 +uid 1042,0 va (VaSet font "Courier New,8,0" ) @@ -999,15 +999,15 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 77,0 +suid 86,0 ) ) ) *76 (CptPort -uid 934,0 +uid 1043,0 ps "OnEdgeStrategy" shape (Triangle -uid 935,0 +uid 1044,0 ro 90 va (VaSet vasetType 1 @@ -1016,11 +1016,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 936,0 +uid 1045,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 937,0 +uid 1046,0 va (VaSet font "Verdana,12,0" ) @@ -1031,7 +1031,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 938,0 +uid 1047,0 va (VaSet font "Courier New,8,0" ) @@ -1046,15 +1046,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 78,0 +suid 87,0 ) ) ) *77 (CptPort -uid 939,0 +uid 1048,0 ps "OnEdgeStrategy" shape (Triangle -uid 940,0 +uid 1049,0 ro 180 va (VaSet vasetType 1 @@ -1063,11 +1063,11 @@ fg "0,65535,0" xt "20625,5250,21375,6000" ) tg (CPTG -uid 941,0 +uid 1050,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 942,0 +uid 1051,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1080,7 +1080,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 943,0 +uid 1052,0 va (VaSet font "Courier New,8,0" ) @@ -1094,15 +1094,15 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 79,0 +suid 88,0 ) ) ) *78 (CptPort -uid 944,0 +uid 1053,0 ps "OnEdgeStrategy" shape (Triangle -uid 945,0 +uid 1054,0 ro 180 va (VaSet vasetType 1 @@ -1111,11 +1111,11 @@ fg "0,65535,0" xt "19625,13000,20375,13750" ) tg (CPTG -uid 946,0 +uid 1055,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 947,0 +uid 1056,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1127,7 +1127,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 948,0 +uid 1057,0 va (VaSet font "Courier New,8,0" ) @@ -1142,7 +1142,7 @@ decl (Decl n "skip_deceleration" t "std_uLogic" o 30 -suid 80,0 +suid 89,0 ) ) ) @@ -1855,6 +1855,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 966,0 +lastUid 1075,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor_test/hds/.hdlsidedata/_cursor_tb_struct.vhg._fpf b/Cursor_test/hds/.hdlsidedata/_cursor_tb_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor_test/hds/.hdlsidedata/_cursor_tb_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor_test/hds/cursor_tb/struct.bd b/Cursor_test/hds/cursor_tb/struct.bd index 84e1455..680e5d5 100644 --- a/Cursor_test/hds/cursor_tb/struct.bd +++ b/Cursor_test/hds/cursor_tb/struct.bd @@ -178,7 +178,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:33:58" +value "14:45:58" ) (vvPair variable "group" @@ -302,7 +302,7 @@ value "struct" ) (vvPair variable "time" -value "14:33:58" +value "14:45:58" ) (vvPair variable "unit" @@ -1016,7 +1016,7 @@ blo "40000,63500" thePort (LogicalPort decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 2 suid 1,0 ) @@ -1051,7 +1051,7 @@ blo "40000,65500" thePort (LogicalPort decl (Decl n "reset" -t "std_ulogic" +t "std_uLogic" o 8 suid 2,0 ) @@ -1735,7 +1735,7 @@ m 1 decl (Decl n "testOut" t "std_uLogic_vector" -b "(1 TO testLineNb)" +b "(1 DOWNTO 0)" o 21 suid 2022,0 ) @@ -2532,7 +2532,7 @@ tm "BdCompilerDirectivesTextMgr" associable 1 ) windowSize "0,0,1537,960" -viewArea "-3137,19167,97080,82865" +viewArea "-3100,19200,97693,83264" cachedDiagramExtent "-7000,-1400,102000,93000" pageSetupInfo (PageSetupInfo ptrCmd "\\\\ipp://ipp.hevs.ch\\PREA309_HPLJP3005DN,winspool," @@ -2559,7 +2559,7 @@ boundaryWidth 0 ) hasePageBreakOrigin 1 pageBreakOrigin "-7000,19000" -lastUid 5737,0 +lastUid 5790,0 defaultCommentText (CommentText shape (Rectangle layer 0 diff --git a/Prefs/hds_user/v2019.2/hds_user_prefs b/Prefs/hds_user/v2019.2/hds_user_prefs index 916249c..0c307c5 100644 --- a/Prefs/hds_user/v2019.2/hds_user_prefs +++ b/Prefs/hds_user/v2019.2/hds_user_prefs @@ -6208,7 +6208,7 @@ yPos 0 width 1552 height 936 activeSidePanelTab 2 -activeLibraryTab 2 +activeLibraryTab 3 sidePanelSize 278 showUnixHiddenFiles 0 componentBrowserXpos 158