From 8547b652b8308345e670360c8d4c9ba5ed228351 Mon Sep 17 00:00:00 2001 From: SimoDonn Date: Tue, 21 Dec 2021 14:10:01 +0100 Subject: [PATCH] correction --- .../.hdlsidedata/_accelerator_fsm.vhg._fpf | 1 + .../_cursorcircuit_studentversion.vhg._fpf | 1 + .../_enable_acceleration_fsm.vhg._fpf | 1 + Cursor/hds/.hdlsidedata/_main_struct.vhg._fpf | 1 + .../_process_acceleration_struct1.vhg._fpf | 1 + .../_side_acceleration_fsm.vhg._fpf | 1 + Cursor/hds/@button/interface | 242 +-- Cursor/hds/@counter_@controller/fsm.sm | 68 +- Cursor/hds/@counter_@controller/interface | 116 +- Cursor/hds/@driver/drivert.bd | 32 +- Cursor/hds/@driver/symbol.sb | 43 +- Cursor/hds/@main/struct.bd | 1385 +++++++++++------ Cursor/hds/@main/symbol.sb | 40 +- Cursor/hds/@motor_side/interface | 152 +- Cursor/hds/@p@w@m/fsm.sm | 24 +- Cursor/hds/@p@w@m/interface | 124 +- Cursor/hds/@position/interface | 209 +-- Cursor/hds/accelerator/fsm.sm | 20 +- Cursor/hds/accelerator/interface | 192 +-- Cursor/hds/cursor@circuit/student@version.bd | 965 +++++------- Cursor/hds/cursor@circuit/symbol.sb | 119 +- Cursor/hds/enable_acceleration/fsm.sm | 60 +- Cursor/hds/enable_acceleration/interface | 198 +-- Cursor/hds/move/fsm.sm | 22 +- Cursor/hds/move/interface | 317 ++-- Cursor/hds/process_acceleration/interface | 226 ++- Cursor/hds/process_acceleration/struct1.bd | 36 +- Cursor/hds/process_cruse/fsm.sm | 61 +- Cursor/hds/process_cruse/interface | 207 +-- Cursor/hds/process_deceleration/fsm.sm | 108 +- Cursor/hds/process_deceleration/interface | 225 +-- Cursor/hds/selector_acceleration/interface | 197 +-- Cursor/hds/selector_cruse/interface | 179 ++- Cursor/hds/selector_deceleration/interface | 197 +-- Cursor/hds/set_position/interface | 119 +- Cursor/hds/side_acceleration/fsm.sm | 88 +- Cursor/hds/side_acceleration/interface | 136 +- Cursor_test/hds/cursor_tb/struct.bd | 158 +- Prefs/hds_user/v2019.2/hds_user_prefs | 13 +- .../hds_user/v2019.2/tasks/modelsim_flow.tsk | 2 +- 40 files changed, 3355 insertions(+), 2931 deletions(-) create mode 100644 Cursor/hds/.hdlsidedata/_accelerator_fsm.vhg._fpf create mode 100644 Cursor/hds/.hdlsidedata/_cursorcircuit_studentversion.vhg._fpf create mode 100644 Cursor/hds/.hdlsidedata/_enable_acceleration_fsm.vhg._fpf create mode 100644 Cursor/hds/.hdlsidedata/_main_struct.vhg._fpf create mode 100644 Cursor/hds/.hdlsidedata/_process_acceleration_struct1.vhg._fpf create mode 100644 Cursor/hds/.hdlsidedata/_side_acceleration_fsm.vhg._fpf diff --git a/Cursor/hds/.hdlsidedata/_accelerator_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_accelerator_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_accelerator_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_cursorcircuit_studentversion.vhg._fpf b/Cursor/hds/.hdlsidedata/_cursorcircuit_studentversion.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_cursorcircuit_studentversion.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_enable_acceleration_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_enable_acceleration_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_enable_acceleration_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_main_struct.vhg._fpf b/Cursor/hds/.hdlsidedata/_main_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_main_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_process_acceleration_struct1.vhg._fpf b/Cursor/hds/.hdlsidedata/_process_acceleration_struct1.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_process_acceleration_struct1.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_side_acceleration_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_side_acceleration_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_side_acceleration_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/@button/interface b/Cursor/hds/@button/interface index 23125b1..a0c0bae 100644 --- a/Cursor/hds/@button/interface +++ b/Cursor/hds/@button/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 16,0 +suid 32,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -68,11 +68,11 @@ decl (Decl n "button" t "unsigned" b "(3 DOWNTO 0)" -o 8 -suid 9,0 +o 25 +suid 25,0 ) ) -uid 296,0 +uid 500,0 ) *15 (LogPort port (LogicalPort @@ -80,65 +80,65 @@ decl (Decl n "button4" t "std_uLogic" o 1 -suid 10,0 +suid 26,0 ) ) -uid 298,0 +uid 502,0 ) *16 (LogPort port (LogicalPort decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 2 -suid 11,0 +suid 27,0 ) ) -uid 300,0 +uid 504,0 ) *17 (LogPort port (LogicalPort decl (Decl n "go1" t "std_uLogic" -o 3 -suid 12,0 +o 6 +suid 28,0 ) ) -uid 302,0 +uid 506,0 ) *18 (LogPort port (LogicalPort decl (Decl n "go2" t "std_uLogic" -o 4 -suid 13,0 +o 7 +suid 29,0 ) ) -uid 304,0 +uid 508,0 ) *19 (LogPort port (LogicalPort decl (Decl n "reset" -t "std_ulogic" -o 5 -suid 14,0 +t "std_uLogic" +o 8 +suid 30,0 ) ) -uid 306,0 +uid 510,0 ) *20 (LogPort port (LogicalPort decl (Decl n "restart" t "std_uLogic" -o 6 -suid 15,0 +o 9 +suid 31,0 ) ) -uid 308,0 +uid 512,0 ) *21 (LogPort port (LogicalPort @@ -146,11 +146,11 @@ lang 11 decl (Decl n "unlock" t "std_ulogic" -o 7 -suid 16,0 +o 26 +suid 32,0 ) ) -uid 310,0 +uid 514,0 ) ] ) @@ -206,49 +206,49 @@ uid 127,0 litem &14 pos 0 dimension 20 -uid 297,0 +uid 501,0 ) *28 (MRCItem litem &15 pos 1 dimension 20 -uid 299,0 +uid 503,0 ) *29 (MRCItem litem &16 pos 2 dimension 20 -uid 301,0 +uid 505,0 ) *30 (MRCItem litem &17 pos 3 dimension 20 -uid 303,0 +uid 507,0 ) *31 (MRCItem litem &18 pos 4 dimension 20 -uid 305,0 +uid 509,0 ) *32 (MRCItem litem &19 pos 5 dimension 20 -uid 307,0 +uid 511,0 ) *33 (MRCItem litem &20 pos 6 dimension 20 -uid 309,0 +uid 513,0 ) *34 (MRCItem litem &21 pos 7 dimension 20 -uid 311,0 +uid 515,0 ) ] ) @@ -479,23 +479,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button\\interface.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@button\\interface.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button\\interface.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@button\\interface.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -515,15 +515,15 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@button" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Button" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Button" ) (vvPair variable "date" -value "07.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -535,7 +535,7 @@ value "mardi" ) (vvPair variable "dd" -value "07" +value "21" ) (vvPair variable "entity_name" @@ -559,11 +559,11 @@ value "interface" ) (vvPair variable "graphical_source_author" -value "remi" +value "Simon" ) (vvPair variable "graphical_source_date" -value "07.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -571,11 +571,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:34:59" +value "14:04:41" ) (vvPair variable "group" @@ -583,7 +583,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "language" @@ -615,11 +615,11 @@ value "d ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button\\interface" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@button\\interface" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Button\\interface" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Button\\interface" ) (vvPair variable "package_name" @@ -647,7 +647,7 @@ value "interface" ) (vvPair variable "time" -value "09:34:59" +value "14:04:41" ) (vvPair variable "unit" @@ -655,7 +655,7 @@ value "Button" ) (vvPair variable "user" -value "remi" +value "Simon" ) (vvPair variable "version" @@ -682,10 +682,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *68 (CptPort -uid 256,0 +uid 460,0 ps "OnEdgeStrategy" shape (Triangle -uid 257,0 +uid 461,0 ro 90 va (VaSet vasetType 1 @@ -694,11 +694,11 @@ fg "0,65535,0" xt "23000,8625,23750,9375" ) tg (CPTG -uid 258,0 +uid 462,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 259,0 +uid 463,0 va (VaSet font "Verdana,12,0" ) @@ -710,12 +710,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 260,0 +uid 464,0 va (VaSet font "Courier New,8,0" ) xt "44000,8000,64500,8800" -st "button : OUT unsigned (3 DOWNTO 0)" +st "button : OUT unsigned (3 DOWNTO 0) +" ) thePort (LogicalPort lang 11 @@ -724,16 +725,16 @@ decl (Decl n "button" t "unsigned" b "(3 DOWNTO 0)" -o 8 -suid 9,0 +o 25 +suid 25,0 ) ) ) *69 (CptPort -uid 261,0 +uid 465,0 ps "OnEdgeStrategy" shape (Triangle -uid 262,0 +uid 466,0 ro 90 va (VaSet vasetType 1 @@ -742,11 +743,11 @@ fg "0,65535,0" xt "14250,13625,15000,14375" ) tg (CPTG -uid 263,0 +uid 467,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 264,0 +uid 468,0 va (VaSet font "Verdana,12,0" ) @@ -757,27 +758,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 265,0 +uid 469,0 va (VaSet font "Courier New,8,0" ) xt "44000,2400,60500,3200" -st "button4 : IN std_uLogic ;" +st "button4 : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "button4" t "std_uLogic" o 1 -suid 10,0 +suid 26,0 ) ) ) *70 (CptPort -uid 266,0 +uid 470,0 ps "OnEdgeStrategy" shape (Triangle -uid 267,0 +uid 471,0 ro 90 va (VaSet vasetType 1 @@ -786,11 +788,11 @@ fg "0,65535,0" xt "14250,16625,15000,17375" ) tg (CPTG -uid 268,0 +uid 472,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 269,0 +uid 473,0 va (VaSet font "Verdana,12,0" ) @@ -801,27 +803,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 270,0 +uid 474,0 va (VaSet font "Courier New,8,0" ) xt "44000,3200,60500,4000" -st "clock : IN std_ulogic ;" +st "clock : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 2 -suid 11,0 +suid 27,0 ) ) ) *71 (CptPort -uid 271,0 +uid 475,0 ps "OnEdgeStrategy" shape (Triangle -uid 272,0 +uid 476,0 ro 90 va (VaSet vasetType 1 @@ -830,11 +833,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 273,0 +uid 477,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 274,0 +uid 478,0 va (VaSet font "Verdana,12,0" ) @@ -845,27 +848,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 275,0 +uid 479,0 va (VaSet font "Courier New,8,0" ) xt "44000,4000,60500,4800" -st "go1 : IN std_uLogic ;" +st "go1 : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "go1" t "std_uLogic" -o 3 -suid 12,0 +o 6 +suid 28,0 ) ) ) *72 (CptPort -uid 276,0 +uid 480,0 ps "OnEdgeStrategy" shape (Triangle -uid 277,0 +uid 481,0 ro 90 va (VaSet vasetType 1 @@ -874,11 +878,11 @@ fg "0,65535,0" xt "14250,11625,15000,12375" ) tg (CPTG -uid 278,0 +uid 482,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 279,0 +uid 483,0 va (VaSet font "Verdana,12,0" ) @@ -889,27 +893,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 280,0 +uid 484,0 va (VaSet font "Courier New,8,0" ) xt "44000,4800,60500,5600" -st "go2 : IN std_uLogic ;" +st "go2 : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "go2" t "std_uLogic" -o 4 -suid 13,0 +o 7 +suid 29,0 ) ) ) *73 (CptPort -uid 281,0 +uid 485,0 ps "OnEdgeStrategy" shape (Triangle -uid 282,0 +uid 486,0 ro 90 va (VaSet vasetType 1 @@ -918,11 +923,11 @@ fg "0,65535,0" xt "14250,17625,15000,18375" ) tg (CPTG -uid 283,0 +uid 487,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 284,0 +uid 488,0 va (VaSet font "Verdana,12,0" ) @@ -933,27 +938,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 285,0 +uid 489,0 va (VaSet font "Courier New,8,0" ) xt "44000,5600,60500,6400" -st "reset : IN std_ulogic ;" +st "reset : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "reset" -t "std_ulogic" -o 5 -suid 14,0 +t "std_uLogic" +o 8 +suid 30,0 ) ) ) *74 (CptPort -uid 286,0 +uid 490,0 ps "OnEdgeStrategy" shape (Triangle -uid 287,0 +uid 491,0 ro 90 va (VaSet vasetType 1 @@ -962,11 +968,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 288,0 +uid 492,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 289,0 +uid 493,0 va (VaSet font "Verdana,12,0" ) @@ -977,27 +983,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 290,0 +uid 494,0 va (VaSet font "Courier New,8,0" ) xt "44000,6400,60500,7200" -st "restart : IN std_uLogic ;" +st "restart : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "restart" t "std_uLogic" -o 6 -suid 15,0 +o 9 +suid 31,0 ) ) ) *75 (CptPort -uid 291,0 +uid 495,0 ps "OnEdgeStrategy" shape (Triangle -uid 292,0 +uid 496,0 ro 270 va (VaSet vasetType 1 @@ -1006,11 +1013,11 @@ fg "0,65535,0" xt "23000,15625,23750,16375" ) tg (CPTG -uid 293,0 +uid 497,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 294,0 +uid 498,0 va (VaSet font "Verdana,12,0" ) @@ -1022,20 +1029,21 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 295,0 +uid 499,0 va (VaSet font "Courier New,8,0" ) xt "44000,7200,60500,8000" -st "unlock : IN std_ulogic ;" +st "unlock : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 decl (Decl n "unlock" t "std_ulogic" -o 7 -suid 16,0 +o 26 +suid 32,0 ) ) ) @@ -1124,7 +1132,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,45400,49000" +xt "36200,48000,46000,49000" st " by %user on %dd %month %year " @@ -1746,6 +1754,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 357,0 +lastUid 515,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@counter_@controller/fsm.sm b/Cursor/hds/@counter_@controller/fsm.sm index 168552f..238be1e 100644 --- a/Cursor/hds/@counter_@controller/fsm.sm +++ b/Cursor/hds/@counter_@controller/fsm.sm @@ -83,19 +83,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\C ) (vvPair variable "date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "17" +value "21" ) (vvPair variable "entity_name" @@ -123,7 +123,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -135,7 +135,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "08:32:56" +value "13:48:18" ) (vvPair variable "group" @@ -207,7 +207,7 @@ value "fsm" ) (vvPair variable "time" -value "08:32:56" +value "13:48:18" ) (vvPair variable "unit" @@ -235,6 +235,7 @@ value "21" ) ] ) +uid 278,0 optionalChildren [ *1 (ConcurrentSM uid 1,0 @@ -2409,8 +2410,8 @@ tm "SmCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "-8,-8,1722,1111" -viewArea "-500,-9410,134680,79780" +windowSize "0,24,1715,1143" +viewArea "-500,-9400,134817,78233" cachedDiagramExtent "-650,-1000,88950,47000" hasePageBreakOrigin 1 pageBreakOrigin "-1000,-2000" @@ -2786,11 +2787,12 @@ stateOrder [ name "csm" ) ] -lastUid 277,0 +lastUid 375,0 commonDM (CommonDM ldm (LogicalDM emptyRow *63 (LEmptyRow ) +uid 280,0 optionalChildren [ *64 (RefLabelRowHdr ) @@ -2861,7 +2863,7 @@ port (LogicalPort decl (Decl n "countOut" t "unsigned" -b "(bitNb-1 DOWNTO 0)" +b "(7 DOWNTO 0)" o 2 ) ) @@ -2909,6 +2911,7 @@ scheme 0 pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 +uid 299,0 optionalChildren [ *87 (Sheet sheetRow (SheetRow @@ -2932,22 +2935,26 @@ litem &63 pos 5 dimension 20 ) +uid 301,0 optionalChildren [ *89 (MRCItem litem &64 pos 0 dimension 20 +uid 302,0 ) *90 (MRCItem litem &65 pos 1 dimension 23 +uid 303,0 ) *91 (MRCItem litem &66 pos 2 hidden 1 dimension 20 +uid 304,0 ) *92 (MRCItem litem &82 @@ -2988,93 +2995,111 @@ fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) +uid 305,0 optionalChildren [ *97 (MRCItem litem &67 pos 0 dimension 20 +uid 306,0 ) *98 (MRCItem litem &69 pos 1 dimension 50 +uid 307,0 ) *99 (MRCItem litem &70 pos 2 dimension 70 +uid 308,0 ) *100 (MRCItem litem &71 pos 3 dimension 50 +uid 309,0 ) *101 (MRCItem litem &72 pos 4 dimension 80 +uid 310,0 ) *102 (MRCItem litem &73 pos 5 dimension 80 +uid 311,0 ) *103 (MRCItem litem &74 pos 6 dimension 40 +uid 312,0 ) *104 (MRCItem litem &75 pos 7 dimension 100 +uid 313,0 ) *105 (MRCItem litem &76 pos 8 dimension 60 +uid 314,0 ) *106 (MRCItem litem &77 pos 9 dimension 130 +uid 315,0 ) *107 (MRCItem litem &78 pos 10 dimension 56 +uid 316,0 ) *108 (MRCItem litem &79 pos 11 dimension 50 +uid 317,0 ) *109 (MRCItem litem &80 pos 12 dimension 50 +uid 318,0 ) *110 (MRCItem litem &81 pos 13 dimension 80 +uid 319,0 ) ] ) fixedCol 4 fixedRow 2 name "Ports" +uid 300,0 vaOverrides [ ] ) ] ) +uid 279,0 ) cdmCsm &1 genericsCommonDM (CommonDM ldm (LogicalDM emptyRow *111 (LEmptyRow ) +uid 321,0 optionalChildren [ *112 (RefLabelRowHdr ) @@ -3111,6 +3136,7 @@ tm "GenericEolColHdrMgr" pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 +uid 333,0 optionalChildren [ *123 (Sheet sheetRow (SheetRow @@ -3134,22 +3160,26 @@ litem &111 pos 0 dimension 20 ) +uid 335,0 optionalChildren [ *125 (MRCItem litem &112 pos 0 dimension 20 +uid 336,0 ) *126 (MRCItem litem &113 pos 1 dimension 23 +uid 337,0 ) *127 (MRCItem litem &114 pos 2 hidden 1 dimension 20 +uid 338,0 ) ] ) @@ -3160,52 +3190,62 @@ fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) +uid 339,0 optionalChildren [ *128 (MRCItem litem &115 pos 0 dimension 20 +uid 340,0 ) *129 (MRCItem litem &117 pos 1 dimension 50 +uid 341,0 ) *130 (MRCItem litem &118 pos 2 dimension 100 +uid 342,0 ) *131 (MRCItem litem &119 pos 3 dimension 100 +uid 343,0 ) *132 (MRCItem litem &120 pos 4 dimension 50 +uid 344,0 ) *133 (MRCItem litem &121 pos 5 dimension 50 +uid 345,0 ) *134 (MRCItem litem &122 pos 6 dimension 80 +uid 346,0 ) ] ) fixedCol 3 fixedRow 2 name "Ports" +uid 334,0 vaOverrides [ ] ) ] ) +uid 320,0 type 1 ) signalSuffix "_int" @@ -3786,7 +3826,7 @@ va (VaSet vasetType 1 transparent 1 ) -xt "-371,972,-116,1278" +xt "-441,926,-116,1323" ) ] ) @@ -3861,7 +3901,7 @@ va (VaSet vasetType 1 transparent 1 ) -xt "-415,1064,-76,1263" +xt "-425,943,-76,1304" ) (Line sl 0 @@ -4070,6 +4110,6 @@ pts [ ] ) ) -activeModelName "StateMachine" +activeModelName "StateMachine:CDM" LanguageMgr "Vhdl2008LangMgr" ) diff --git a/Cursor/hds/@counter_@controller/interface b/Cursor/hds/@counter_@controller/interface index 4cd87b0..9dcb33a 100644 --- a/Cursor/hds/@counter_@controller/interface +++ b/Cursor/hds/@counter_@controller/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 15,0 +suid 20,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -72,22 +72,22 @@ decl (Decl n "clock" t "std_ulogic" o 3 -suid 11,0 +suid 16,0 ) ) -uid 341,0 +uid 445,0 ) *15 (LogPort port (LogicalPort decl (Decl n "countOut" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 12 -suid 12,0 +suid 17,0 ) ) -uid 343,0 +uid 447,0 ) *16 (LogPort port (LogicalPort @@ -96,10 +96,10 @@ decl (Decl n "enable" t "std_ulogic" o 10 -suid 13,0 +suid 18,0 ) ) -uid 345,0 +uid 449,0 ) *17 (LogPort port (LogicalPort @@ -108,10 +108,10 @@ decl (Decl n "reset" t "std_ulogic" o 5 -suid 14,0 +suid 19,0 ) ) -uid 347,0 +uid 451,0 ) *18 (LogPort port (LogicalPort @@ -120,10 +120,10 @@ decl (Decl n "resetSync" t "std_ulogic" o 11 -suid 15,0 +suid 20,0 ) ) -uid 349,0 +uid 453,0 ) ] ) @@ -179,31 +179,31 @@ uid 106,0 litem &14 pos 0 dimension 20 -uid 342,0 +uid 446,0 ) *25 (MRCItem litem &15 pos 1 dimension 20 -uid 344,0 +uid 448,0 ) *26 (MRCItem litem &16 pos 2 dimension 20 -uid 346,0 +uid 450,0 ) *27 (MRCItem litem &17 pos 3 dimension 20 -uid 348,0 +uid 452,0 ) *28 (MRCItem litem &18 pos 4 dimension 20 -uid 350,0 +uid 454,0 ) ] ) @@ -478,19 +478,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\C ) (vvPair variable "date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "17" +value "21" ) (vvPair variable "entity_name" @@ -518,7 +518,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -530,7 +530,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:37:40" +value "13:48:39" ) (vvPair variable "group" @@ -602,7 +602,7 @@ value "interface" ) (vvPair variable "time" -value "09:37:40" +value "13:48:39" ) (vvPair variable "unit" @@ -637,10 +637,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *62 (CptPort -uid 316,0 +uid 420,0 ps "OnEdgeStrategy" shape (Triangle -uid 317,0 +uid 421,0 ro 90 va (VaSet vasetType 1 @@ -649,11 +649,11 @@ fg "0,65535,0" xt "14250,8625,15000,9375" ) tg (CPTG -uid 318,0 +uid 422,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 319,0 +uid 423,0 va (VaSet font "Verdana,12,0" ) @@ -664,7 +664,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 320,0 +uid 424,0 va (VaSet font "Courier New,8,0" ) @@ -678,15 +678,15 @@ decl (Decl n "clock" t "std_ulogic" o 3 -suid 11,0 +suid 16,0 ) ) ) *63 (CptPort -uid 321,0 +uid 425,0 ps "OnEdgeStrategy" shape (Triangle -uid 322,0 +uid 426,0 ro 270 va (VaSet vasetType 1 @@ -695,11 +695,11 @@ fg "0,65535,0" xt "28000,10625,28750,11375" ) tg (CPTG -uid 323,0 +uid 427,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 324,0 +uid 428,0 va (VaSet font "Verdana,12,0" ) @@ -711,29 +711,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 325,0 +uid 429,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,71500,4000" -st "countOut : IN std_ulogic_vector (7 DOWNTO 0) ; +xt "44000,3200,67000,4000" +st "countOut : IN unsigned (7 DOWNTO 0) ; " ) thePort (LogicalPort decl (Decl n "countOut" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 12 -suid 12,0 +suid 17,0 ) ) ) *64 (CptPort -uid 326,0 +uid 430,0 ps "OnEdgeStrategy" shape (Triangle -uid 327,0 +uid 431,0 ro 270 va (VaSet vasetType 1 @@ -742,11 +742,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 328,0 +uid 432,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 329,0 +uid 433,0 va (VaSet font "Verdana,12,0" ) @@ -757,7 +757,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 330,0 +uid 434,0 va (VaSet font "Courier New,8,0" ) @@ -771,15 +771,15 @@ decl (Decl n "enable" t "std_ulogic" o 10 -suid 13,0 +suid 18,0 ) ) ) *65 (CptPort -uid 331,0 +uid 435,0 ps "OnEdgeStrategy" shape (Triangle -uid 332,0 +uid 436,0 ro 90 va (VaSet vasetType 1 @@ -788,11 +788,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 333,0 +uid 437,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 334,0 +uid 438,0 va (VaSet font "Verdana,12,0" ) @@ -803,7 +803,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 335,0 +uid 439,0 va (VaSet font "Courier New,8,0" ) @@ -817,15 +817,15 @@ decl (Decl n "reset" t "std_ulogic" o 5 -suid 14,0 +suid 19,0 ) ) ) *66 (CptPort -uid 336,0 +uid 440,0 ps "OnEdgeStrategy" shape (Triangle -uid 337,0 +uid 441,0 ro 270 va (VaSet vasetType 1 @@ -834,11 +834,11 @@ fg "0,65535,0" xt "14250,13625,15000,14375" ) tg (CPTG -uid 338,0 +uid 442,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 339,0 +uid 443,0 va (VaSet font "Verdana,12,0" ) @@ -849,7 +849,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 340,0 +uid 444,0 va (VaSet font "Courier New,8,0" ) @@ -863,7 +863,7 @@ decl (Decl n "resetSync" t "std_ulogic" o 11 -suid 15,0 +suid 20,0 ) ) ) @@ -1576,6 +1576,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 350,0 +lastUid 454,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@driver/drivert.bd b/Cursor/hds/@driver/drivert.bd index 7322d01..969a9d0 100644 --- a/Cursor/hds/@driver/drivert.bd +++ b/Cursor/hds/@driver/drivert.bd @@ -130,19 +130,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\D ) (vvPair variable "date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "17" +value "21" ) (vvPair variable "entity_name" @@ -170,7 +170,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -182,7 +182,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:37:40" +value "13:48:40" ) (vvPair variable "group" @@ -254,7 +254,7 @@ value "drivert" ) (vvPair variable "time" -value "09:37:40" +value "13:48:40" ) (vvPair variable "unit" @@ -462,7 +462,7 @@ uid 49,0 lang 11 decl (Decl n "Power" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 1 suid 3,0 @@ -1350,7 +1350,7 @@ viewiconposition 0 uid 574,0 decl (Decl n "countOut" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 12 suid 19,0 @@ -2699,12 +2699,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,0,1715,1119" -viewArea "-6700,-18804,136052,73644" +windowSize "0,24,1715,1143" +viewArea "-6700,-18800,136052,76336" cachedDiagramExtent "-1400,0,105100,49000" hasePageBreakOrigin 1 pageBreakOrigin "-7000,0" -lastUid 1245,0 +lastUid 1393,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -3747,7 +3747,7 @@ tm "BdDeclarativeTextMgr" ) commonDM (CommonDM ldm (LogicalDM -suid 44,0 +suid 45,0 usingSuid 1 emptyRow *110 (LEmptyRow ) @@ -3791,7 +3791,7 @@ port (LogicalPort lang 11 decl (Decl n "Power" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 1 suid 3,0 @@ -3892,7 +3892,7 @@ port (LogicalPort m 4 decl (Decl n "countOut" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 12 suid 19,0 @@ -4265,5 +4265,5 @@ vaOverrides [ uid 194,0 type 1 ) -activeModelName "BlockDiag:CDM" +activeModelName "BlockDiag" ) diff --git a/Cursor/hds/@driver/symbol.sb b/Cursor/hds/@driver/symbol.sb index 84e46e2..e895902 100644 --- a/Cursor/hds/@driver/symbol.sb +++ b/Cursor/hds/@driver/symbol.sb @@ -90,7 +90,7 @@ port (LogicalPort lang 11 decl (Decl n "Power" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 1 suid 3,0 @@ -513,19 +513,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\D ) (vvPair variable "date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "17" +value "21" ) (vvPair variable "entity_name" @@ -553,7 +553,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -565,7 +565,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:37:40" +value "13:48:40" ) (vvPair variable "group" @@ -637,7 +637,7 @@ value "symbol" ) (vvPair variable "time" -value "09:37:40" +value "13:48:40" ) (vvPair variable "unit" @@ -704,8 +704,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,4000,60500,4800" -st "clock : IN std_ulogic ; -" +st "clock : IN std_ulogic ;" ) thePort (LogicalPort lang 11 @@ -751,8 +750,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,5600,60500,6400" -st "motorOn : OUT std_uLogic ; -" +st "motorOn : OUT std_uLogic ;" ) thePort (LogicalPort lang 11 @@ -797,15 +795,14 @@ uid 65,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,70500,3200" -st "Power : IN std_ulogic_vector (7 DOWNTO 0) ; -" +xt "44000,2400,66000,3200" +st "Power : IN unsigned (7 DOWNTO 0) ;" ) thePort (LogicalPort lang 11 decl (Decl n "Power" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 1 suid 3,0 @@ -845,8 +842,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,4800,60500,5600" -st "reset : IN std_ulogic ; -" +st "reset : IN std_ulogic ;" ) thePort (LogicalPort lang 11 @@ -892,8 +888,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,6400,60500,7200" -st "side1 : OUT std_uLogic ; -" +st "side1 : OUT std_uLogic ;" ) thePort (LogicalPort lang 11 @@ -940,8 +935,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,7200,59500,8000" -st "side2 : OUT std_uLogic -" +st "side2 : OUT std_uLogic " ) thePort (LogicalPort lang 11 @@ -987,8 +981,7 @@ va (VaSet font "Courier New,8,0" ) xt "44000,3200,60500,4000" -st "SideL : IN std_ulogic ; -" +st "SideL : IN std_ulogic ;" ) thePort (LogicalPort lang 11 @@ -1707,6 +1700,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 639,0 +lastUid 685,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@main/struct.bd b/Cursor/hds/@main/struct.bd index 1de6f3d..cd60484 100644 --- a/Cursor/hds/@main/struct.bd +++ b/Cursor/hds/@main/struct.bd @@ -11,6 +11,10 @@ unitName "std_logic_1164" library "ieee" unitName "numeric_std" ) +(DmPackageRef +library "gates" +unitName "gates" +) ] instances [ (Instance @@ -85,9 +89,38 @@ elements [ mwi 0 uid 1298,0 ) +(Instance +name "U_2" +duLibraryName "gates" +duName "bufferUlogic" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 2214,0 +) +(Instance +name "U_3" +duLibraryName "gates" +duName "bufferUlogic" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 2234,0 +) ] libraryRefs [ "ieee" +"gates" ] ) version "32.1" @@ -142,19 +175,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\M ) (vvPair variable "date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "17" +value "21" ) (vvPair variable "entity_name" @@ -182,7 +215,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -194,7 +227,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:37:10" +value "14:07:25" ) (vvPair variable "group" @@ -266,7 +299,7 @@ value "struct" ) (vvPair variable "time" -value "09:37:10" +value "14:07:25" ) (vvPair variable "unit" @@ -501,7 +534,7 @@ uid 49,0 lang 11 decl (Decl n "Position" -t "std_uLogic_vector" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 suid 3,0 @@ -521,7 +554,7 @@ uid 63,0 lang 11 decl (Decl n "Power" -t "std_uLogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 8 suid 4,0 @@ -701,16 +734,16 @@ optionalChildren [ uid 95,0 sl 0 ro 270 -xt "-2000,13625,-500,14375" +xt "-29000,13625,-27500,14375" ) (Line uid 96,0 sl 0 ro 270 -xt "-500,14000,0,14000" +xt "-27500,14000,-27000,14000" pts [ -"-500,14000" -"0,14000" +"-27500,14000" +"-27000,14000" ] ) ] @@ -725,10 +758,10 @@ f (Text uid 98,0 va (VaSet ) -xt "-10000,13400,-3000,14600" +xt "-37000,13400,-30000,14600" st "sensor1(0)" ju 2 -blo "-3000,14400" +blo "-30000,14400" tm "WireNameMgr" ) ) @@ -765,16 +798,16 @@ optionalChildren [ uid 109,0 sl 0 ro 270 -xt "-2000,14625,-500,15375" +xt "-29000,14625,-27500,15375" ) (Line uid 110,0 sl 0 ro 270 -xt "-500,15000,0,15000" +xt "-27500,15000,-27000,15000" pts [ -"-500,15000" -"0,15000" +"-27500,15000" +"-27000,15000" ] ) ] @@ -789,10 +822,10 @@ f (Text uid 112,0 va (VaSet ) -xt "-10000,14400,-3000,15600" +xt "-37000,14400,-30000,15600" st "sensor2(1)" ju 2 -blo "-3000,15400" +blo "-30000,15400" tm "WireNameMgr" ) ) @@ -949,7 +982,7 @@ lang 11 decl (Decl n "testOut" t "std_uLogic_vector" -b "(1 TO testLineNb)" +b "(1 DOWNTO 0)" o 11 suid 11,0 ) @@ -1803,7 +1836,7 @@ uid 535,0 lang 11 decl (Decl n "power_acceleration" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 22 suid 24,0 @@ -1838,7 +1871,8 @@ uid 539,0 lang 11 decl (Decl n "power_cruse" -t "std_ulogic" +t "unsigned" +b "(7 DOWNTO 0)" o 23 suid 26,0 ) @@ -1855,7 +1889,8 @@ uid 541,0 lang 11 decl (Decl n "power_deceleration" -t "std_ulogic" +t "unsigned" +b "(7 DOWNTO 0)" o 24 suid 27,0 ) @@ -2372,10 +2407,388 @@ font "Courier New,8,0" ) ) ) -*86 (Wire +*86 (SaComponent +uid 2214,0 +optionalChildren [ +*87 (CptPort +uid 2206,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2207,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "-7750,13625,-7000,14375" +) +tg (CPTG +uid 2208,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2209,0 +va (VaSet +isHidden 1 +) +xt "-7000,13700,-4700,14900" +st "in1" +blo "-7000,14700" +) +s (Text +uid 2224,0 +va (VaSet +isHidden 1 +) +xt "-7000,14900,-7000,14900" +blo "-7000,14900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*88 (CptPort +uid 2210,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2211,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "-2000,13625,-1250,14375" +) +tg (CPTG +uid 2212,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2213,0 +va (VaSet +isHidden 1 +) +xt "-5000,13700,-2000,14900" +st "out1" +ju 2 +blo "-2000,14700" +) +s (Text +uid 2225,0 +va (VaSet +isHidden 1 +) +xt "-2000,14900,-2000,14900" +ju 2 +blo "-2000,14900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 2215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-7000,11000,-2000,17000" +) +showPorts 0 +oxt "32000,15000,37000,21000" +ttg (MlTextGroup +uid 2216,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +uid 2217,0 +va (VaSet +font "Verdana,8,1" +) +xt "-6090,16700,-2990,17700" +st "gates" +blo "-6090,17500" +tm "BdLibraryNameMgr" +) +*90 (Text +uid 2218,0 +va (VaSet +font "Verdana,8,1" +) +xt "-6090,17700,810,18700" +st "bufferUlogic" +blo "-6090,18500" +tm "CptNameMgr" +) +*91 (Text +uid 2219,0 +va (VaSet +font "Verdana,8,1" +) +xt "-6090,18700,-3590,19700" +st "U_2" +blo "-6090,19500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2220,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2221,0 +text (MLText +uid 2222,0 +va (VaSet +font "Verdana,8,0" +) +xt "-7000,19600,7100,20600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 2223,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-6750,15250,-5250,16750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*92 (SaComponent +uid 2234,0 +optionalChildren [ +*93 (CptPort +uid 2226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2227,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "-16750,14625,-16000,15375" +) +tg (CPTG +uid 2228,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2229,0 +va (VaSet +isHidden 1 +) +xt "-16000,14700,-13700,15900" +st "in1" +blo "-16000,15700" +) +s (Text +uid 2244,0 +va (VaSet +isHidden 1 +) +xt "-16000,15900,-16000,15900" +blo "-16000,15900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*94 (CptPort +uid 2230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2231,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "-11000,14625,-10250,15375" +) +tg (CPTG +uid 2232,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2233,0 +va (VaSet +isHidden 1 +) +xt "-14000,14700,-11000,15900" +st "out1" +ju 2 +blo "-11000,15700" +) +s (Text +uid 2245,0 +va (VaSet +isHidden 1 +) +xt "-11000,15900,-11000,15900" +ju 2 +blo "-11000,15900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 2235,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-16000,12000,-11000,18000" +) +showPorts 0 +oxt "32000,15000,37000,21000" +ttg (MlTextGroup +uid 2236,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*95 (Text +uid 2237,0 +va (VaSet +font "Verdana,8,1" +) +xt "-15090,17700,-11990,18700" +st "gates" +blo "-15090,18500" +tm "BdLibraryNameMgr" +) +*96 (Text +uid 2238,0 +va (VaSet +font "Verdana,8,1" +) +xt "-15090,18700,-8190,19700" +st "bufferUlogic" +blo "-15090,19500" +tm "CptNameMgr" +) +*97 (Text +uid 2239,0 +va (VaSet +font "Verdana,8,1" +) +xt "-15090,19700,-12590,20700" +st "U_3" +blo "-15090,20500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2240,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2241,0 +text (MLText +uid 2242,0 +va (VaSet +font "Verdana,8,0" +) +xt "-16000,20600,-1900,21600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 2243,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-15750,16250,-14250,17750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*98 (Wire uid 15,0 optionalChildren [ -*87 (BdJunction +*99 (BdJunction uid 567,0 ps "OnConnectorStrategy" shape (Circle @@ -2387,7 +2800,7 @@ xt "52600,-400,53400,400" radius 400 ) ) -*88 (BdJunction +*100 (BdJunction uid 575,0 ps "OnConnectorStrategy" shape (Circle @@ -2439,10 +2852,10 @@ tm "WireNameMgr" ) on &3 ) -*89 (Wire +*101 (Wire uid 29,0 optionalChildren [ -*90 (BdJunction +*102 (BdJunction uid 639,0 ps "OnConnectorStrategy" shape (Circle @@ -2454,7 +2867,7 @@ xt "55600,-3400,56400,-2600" radius 400 ) ) -*91 (BdJunction +*103 (BdJunction uid 647,0 ps "OnConnectorStrategy" shape (Circle @@ -2466,7 +2879,7 @@ xt "28600,-3400,29400,-2600" radius 400 ) ) -*92 (BdJunction +*104 (BdJunction uid 916,0 ps "OnConnectorStrategy" shape (Circle @@ -2516,10 +2929,10 @@ tm "WireNameMgr" ) on &71 ) -*93 (Wire +*105 (Wire uid 43,0 optionalChildren [ -*94 (BdJunction +*106 (BdJunction uid 467,0 ps "OnConnectorStrategy" shape (Circle @@ -2531,7 +2944,7 @@ xt "23600,16600,24400,17400" radius 400 ) ) -*95 (BdJunction +*107 (BdJunction uid 976,0 ps "OnConnectorStrategy" shape (Circle @@ -2543,7 +2956,7 @@ xt "50600,16600,51400,17400" radius 400 ) ) -*96 (BdJunction +*108 (BdJunction uid 1139,0 ps "OnConnectorStrategy" shape (Circle @@ -2555,7 +2968,7 @@ xt "12600,16600,13400,17400" radius 400 ) ) -*97 (BdJunction +*109 (BdJunction uid 1416,0 ps "OnConnectorStrategy" shape (Circle @@ -2607,7 +3020,7 @@ tm "WireNameMgr" ) on &6 ) -*98 (Wire +*110 (Wire uid 43,0 shape (OrthoPolyLine uid 44,0 @@ -2648,7 +3061,44 @@ tm "WireNameMgr" ) on &7 ) -*99 (Wire +*111 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "95000,22000,115000,22000" +pts [ +"115000,22000" +"95000,22000" +] +) +start &9 +end &38 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "114000,20800,116700,22000" +st "RaZ" +blo "114000,21800" +tm "WireNameMgr" +) +) +on &10 +) +*112 (Wire uid 71,0 shape (OrthoPolyLine uid 72,0 @@ -2687,47 +3137,10 @@ tm "WireNameMgr" ) on &16 ) -*100 (Wire -uid 71,0 -shape (OrthoPolyLine -uid 72,0 -va (VaSet -vasetType 3 -) -xt "95000,22000,115000,22000" -pts [ -"115000,22000" -"95000,22000" -] -) -start &9 -end &38 -sat 32 -eat 2 -st 0 -sf 1 -si 0 -tg (WTG -uid 75,0 -ps "ConnStartEndStrategy" -stg "STSignalDisplayStrategy" -f (Text -uid 76,0 -va (VaSet -isHidden 1 -) -xt "114000,20800,116700,22000" -st "RaZ" -blo "114000,21800" -tm "WireNameMgr" -) -) -on &10 -) -*101 (Wire +*113 (Wire uid 85,0 optionalChildren [ -*102 (BdJunction +*114 (BdJunction uid 655,0 ps "OnConnectorStrategy" shape (Circle @@ -2739,7 +3152,7 @@ xt "57600,-5400,58400,-4600" radius 400 ) ) -*103 (BdJunction +*115 (BdJunction uid 663,0 ps "OnConnectorStrategy" shape (Circle @@ -2751,7 +3164,7 @@ xt "30600,-5400,31400,-4600" radius 400 ) ) -*104 (BdJunction +*116 (BdJunction uid 918,0 ps "OnConnectorStrategy" shape (Circle @@ -2801,38 +3214,23 @@ tm "WireNameMgr" ) on &72 ) -*105 (Wire +*117 (Wire uid 99,0 shape (OrthoPolyLine uid 100,0 va (VaSet vasetType 3 ) -xt "0,14000,69999,14000" +xt "-27000,14000,-7000,14000" pts [ -"0,14000" -"69999,14000" +"-27000,14000" +"-7000,14000" ] ) start &12 -end *106 (Ripper -uid 557,0 -ps "OnConnectorStrategy" -shape (Line2D -pts [ -"71001,15000" -"70001,14000" -] -uid 558,0 -va (VaSet -vasetType 3 -) -xt "70001,14000,71001,15000" -) -) +end &87 sat 32 eat 32 -sl "(0)" stc 0 st 0 sf 1 @@ -2846,46 +3244,32 @@ uid 104,0 va (VaSet isHidden 1 ) -xt "2000,12800,9000,14000" -st "sensor1(0)" -blo "2000,13800" +xt "-25000,12800,-20200,14000" +st "sensor1" +blo "-25000,13800" tm "WireNameMgr" ) ) on &13 ) -*107 (Wire +*118 (Wire uid 113,0 shape (OrthoPolyLine uid 114,0 va (VaSet vasetType 3 ) -xt "0,15000,69999,15000" +xt "-27000,15000,-16000,15000" pts [ -"0,15000" -"69999,15000" +"-27000,15000" +"-16000,15000" ] ) start &14 -end *108 (Ripper -uid 559,0 -ps "OnConnectorStrategy" -shape (Line2D -pts [ -"71000,16000" -"70000,15000" -] -uid 560,0 -va (VaSet -vasetType 3 -) -xt "70000,15000,71000,16000" -) -) +end &93 +es 0 sat 32 eat 32 -sl "(1)" stc 0 st 0 sf 1 @@ -2899,15 +3283,15 @@ uid 118,0 va (VaSet isHidden 1 ) -xt "2000,13800,9000,15000" -st "sensor2(1)" -blo "2000,14800" +xt "-25000,13800,-20200,15000" +st "sensor2" +blo "-25000,14800" tm "WireNameMgr" ) ) on &15 ) -*109 (Wire +*119 (Wire uid 141,0 shape (OrthoPolyLine uid 142,0 @@ -2943,7 +3327,7 @@ tm "WireNameMgr" ) on &18 ) -*110 (Wire +*120 (Wire uid 155,0 shape (OrthoPolyLine uid 156,0 @@ -2973,18 +3357,18 @@ uid 160,0 va (VaSet isHidden 1 ) -xt "114000,18800,128400,20000" -st "testOut : (1:testLineNb)" +xt "114000,18800,122900,20000" +st "testOut : (1:0)" blo "114000,19800" tm "WireNameMgr" ) ) on &20 ) -*111 (Wire +*121 (Wire uid 169,0 optionalChildren [ -*112 (BdJunction +*122 (BdJunction uid 1147,0 ps "OnConnectorStrategy" shape (Circle @@ -3032,7 +3416,7 @@ tm "WireNameMgr" ) on &22 ) -*113 (Wire +*123 (Wire uid 461,0 shape (OrthoPolyLine uid 462,0 @@ -3046,7 +3430,7 @@ pts [ "24000,19000" ] ) -start &94 +start &106 end &73 sat 32 eat 1 @@ -3073,7 +3457,7 @@ tm "WireNameMgr" ) on &6 ) -*114 (Wire +*124 (Wire uid 475,0 shape (OrthoPolyLine uid 476,0 @@ -3112,7 +3496,7 @@ tm "WireNameMgr" ) on &55 ) -*115 (Wire +*125 (Wire uid 485,0 shape (OrthoPolyLine uid 486,0 @@ -3149,12 +3533,13 @@ tm "WireNameMgr" ) on &54 ) -*116 (Wire +*126 (Wire uid 495,0 shape (OrthoPolyLine uid 496,0 va (VaSet vasetType 3 +lineWidth 2 ) xt "64000,28000,64000,34000" pts [ @@ -3166,6 +3551,7 @@ start &34 end &67 sat 2 eat 1 +sty 1 st 0 sf 1 si 0 @@ -3178,15 +3564,15 @@ uid 502,0 ro 270 va (VaSet ) -xt "62800,25300,64000,33000" -st "power_cruse" +xt "62800,21700,64000,33000" +st "power_cruse : (7:0)" blo "63800,33000" tm "WireNameMgr" ) ) on &57 ) -*117 (Wire +*127 (Wire uid 505,0 shape (OrthoPolyLine uid 506,0 @@ -3223,7 +3609,7 @@ tm "WireNameMgr" ) on &56 ) -*118 (Wire +*128 (Wire uid 515,0 shape (OrthoPolyLine uid 516,0 @@ -3260,12 +3646,13 @@ tm "WireNameMgr" ) on &59 ) -*119 (Wire +*129 (Wire uid 525,0 shape (OrthoPolyLine uid 526,0 va (VaSet vasetType 3 +lineWidth 2 ) xt "91000,28000,91000,34000" pts [ @@ -3277,6 +3664,7 @@ start &38 end &67 sat 2 eat 1 +sty 1 st 0 sf 1 si 0 @@ -3289,19 +3677,47 @@ uid 532,0 ro 270 va (VaSet ) -xt "89800,21900,91000,33000" -st "power_deceleration" +xt "89800,17600,91000,33000" +st "power_deceleration : (7:0)" blo "90800,33000" tm "WireNameMgr" ) ) on &58 ) -*120 (Wire +*130 (Wire uid 547,0 optionalChildren [ -&106 -&108 +*131 (Ripper +uid 2250,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"71001,15000" +"70001,14000" +] +uid 2251,0 +va (VaSet +vasetType 3 +) +xt "70001,14000,71001,15000" +) +) +*132 (Ripper +uid 2256,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"71001,16000" +"70001,15000" +] +uid 2257,0 +va (VaSet +vasetType 3 +) +xt "70001,15000,71001,16000" +) +) ] shape (OrthoPolyLine uid 548,0 @@ -3340,7 +3756,7 @@ tm "WireNameMgr" ) on &60 ) -*121 (Wire +*133 (Wire uid 561,0 shape (OrthoPolyLine uid 562,0 @@ -3354,7 +3770,7 @@ pts [ "53000,2000" ] ) -start &87 +start &99 end &46 sat 32 eat 1 @@ -3381,7 +3797,7 @@ tm "WireNameMgr" ) on &3 ) -*122 (Wire +*134 (Wire uid 569,0 shape (OrthoPolyLine uid 570,0 @@ -3395,7 +3811,7 @@ pts [ "26000,2000" ] ) -start &88 +start &100 end &42 sat 32 eat 1 @@ -3422,7 +3838,7 @@ tm "WireNameMgr" ) on &3 ) -*123 (Wire +*135 (Wire uid 599,0 shape (OrthoPolyLine uid 600,0 @@ -3461,7 +3877,7 @@ tm "WireNameMgr" ) on &61 ) -*124 (Wire +*136 (Wire uid 609,0 shape (OrthoPolyLine uid 610,0 @@ -3500,7 +3916,7 @@ tm "WireNameMgr" ) on &62 ) -*125 (Wire +*137 (Wire uid 619,0 shape (OrthoPolyLine uid 620,0 @@ -3539,7 +3955,7 @@ tm "WireNameMgr" ) on &63 ) -*126 (Wire +*138 (Wire uid 633,0 shape (OrthoPolyLine uid 634,0 @@ -3552,7 +3968,7 @@ pts [ "56000,2000" ] ) -start &90 +start &102 end &46 sat 32 eat 1 @@ -3578,7 +3994,7 @@ tm "WireNameMgr" ) on &71 ) -*127 (Wire +*139 (Wire uid 641,0 shape (OrthoPolyLine uid 642,0 @@ -3591,7 +4007,7 @@ pts [ "29000,2000" ] ) -start &91 +start &103 end &42 sat 32 eat 1 @@ -3617,7 +4033,7 @@ tm "WireNameMgr" ) on &71 ) -*128 (Wire +*140 (Wire uid 649,0 shape (OrthoPolyLine uid 650,0 @@ -3630,7 +4046,7 @@ pts [ "58000,2000" ] ) -start &102 +start &114 end &46 sat 32 eat 1 @@ -3656,7 +4072,7 @@ tm "WireNameMgr" ) on &72 ) -*129 (Wire +*141 (Wire uid 657,0 shape (OrthoPolyLine uid 658,0 @@ -3669,7 +4085,7 @@ pts [ "31000,2000" ] ) -start &103 +start &115 end &42 sat 32 eat 1 @@ -3695,7 +4111,7 @@ tm "WireNameMgr" ) on &72 ) -*130 (Wire +*142 (Wire uid 673,0 shape (OrthoPolyLine uid 674,0 @@ -3732,7 +4148,7 @@ tm "WireNameMgr" ) on &64 ) -*131 (Wire +*143 (Wire uid 683,0 shape (OrthoPolyLine uid 684,0 @@ -3769,7 +4185,7 @@ tm "WireNameMgr" ) on &65 ) -*132 (Wire +*144 (Wire uid 697,0 shape (OrthoPolyLine uid 698,0 @@ -3806,10 +4222,10 @@ tm "WireNameMgr" ) on &66 ) -*133 (Wire +*145 (Wire uid 884,0 optionalChildren [ -*134 (BdJunction +*146 (BdJunction uid 984,0 ps "OnConnectorStrategy" shape (Circle @@ -3834,7 +4250,7 @@ pts [ "18000,35000" ] ) -start &92 +start &104 end &67 sat 32 eat 1 @@ -3858,10 +4274,10 @@ tm "WireNameMgr" ) on &71 ) -*135 (Wire +*147 (Wire uid 894,0 optionalChildren [ -*136 (BdJunction +*148 (BdJunction uid 1000,0 ps "OnConnectorStrategy" shape (Circle @@ -3886,7 +4302,7 @@ pts [ "18000,36000" ] ) -start &104 +start &116 end &67 sat 32 eat 1 @@ -3910,10 +4326,10 @@ tm "WireNameMgr" ) on &72 ) -*137 (Wire +*149 (Wire uid 970,0 optionalChildren [ -*138 (BdJunction +*150 (BdJunction uid 1408,0 ps "OnConnectorStrategy" shape (Circle @@ -3939,7 +4355,7 @@ pts [ "78000,19000" ] ) -start &95 +start &107 end &38 sat 32 eat 1 @@ -3966,7 +4382,7 @@ tm "WireNameMgr" ) on &6 ) -*139 (Wire +*151 (Wire uid 978,0 shape (OrthoPolyLine uid 979,0 @@ -3979,7 +4395,7 @@ pts [ "20000,21000" ] ) -start &134 +start &146 end &73 sat 32 eat 1 @@ -4003,7 +4419,7 @@ tm "WireNameMgr" ) on &71 ) -*140 (Wire +*152 (Wire uid 994,0 shape (OrthoPolyLine uid 995,0 @@ -4016,7 +4432,7 @@ pts [ "20000,22000" ] ) -start &136 +start &148 end &73 sat 32 eat 1 @@ -4040,7 +4456,7 @@ tm "WireNameMgr" ) on &72 ) -*141 (Wire +*153 (Wire uid 1123,0 shape (OrthoPolyLine uid 1124,0 @@ -4077,7 +4493,7 @@ tm "WireNameMgr" ) on &77 ) -*142 (Wire +*154 (Wire uid 1133,0 shape (OrthoPolyLine uid 1134,0 @@ -4092,7 +4508,7 @@ pts [ "24000,4000" ] ) -start &96 +start &108 end &42 sat 32 eat 1 @@ -4117,10 +4533,10 @@ tm "WireNameMgr" ) on &6 ) -*143 (Wire +*155 (Wire uid 1141,0 optionalChildren [ -*144 (BdJunction +*156 (BdJunction uid 1155,0 ps "OnConnectorStrategy" shape (Circle @@ -4132,7 +4548,7 @@ xt "69600,30600,70400,31400" radius 400 ) ) -*145 (BdJunction +*157 (BdJunction uid 1163,0 ps "OnConnectorStrategy" shape (Circle @@ -4159,7 +4575,7 @@ pts [ "41000,25000" ] ) -start &112 +start &122 end &73 sat 32 eat 1 @@ -4183,7 +4599,7 @@ tm "WireNameMgr" ) on &22 ) -*146 (Wire +*158 (Wire uid 1149,0 shape (OrthoPolyLine uid 1150,0 @@ -4197,7 +4613,7 @@ pts [ "68000,24000" ] ) -start &144 +start &156 end &34 sat 32 eat 1 @@ -4221,7 +4637,7 @@ tm "WireNameMgr" ) on &22 ) -*147 (Wire +*159 (Wire uid 1157,0 shape (OrthoPolyLine uid 1158,0 @@ -4235,7 +4651,7 @@ pts [ "95000,25000" ] ) -start &145 +start &157 end &38 sat 32 eat 1 @@ -4259,10 +4675,10 @@ tm "WireNameMgr" ) on &22 ) -*148 (Wire +*160 (Wire uid 1310,0 optionalChildren [ -*149 (BdJunction +*161 (BdJunction uid 1376,0 ps "OnConnectorStrategy" shape (Circle @@ -4313,10 +4729,10 @@ tm "WireNameMgr" ) on &82 ) -*150 (Wire +*162 (Wire uid 1320,0 optionalChildren [ -*151 (BdJunction +*163 (BdJunction uid 1368,0 ps "OnConnectorStrategy" shape (Circle @@ -4367,10 +4783,10 @@ tm "WireNameMgr" ) on &83 ) -*152 (Wire +*164 (Wire uid 1340,0 optionalChildren [ -*153 (BdJunction +*165 (BdJunction uid 1360,0 ps "OnConnectorStrategy" shape (Circle @@ -4421,10 +4837,10 @@ tm "WireNameMgr" ) on &84 ) -*154 (Wire +*166 (Wire uid 1354,0 optionalChildren [ -*155 (BdJunction +*167 (BdJunction uid 1384,0 ps "OnConnectorStrategy" shape (Circle @@ -4451,7 +4867,7 @@ pts [ "78000,5000" ] ) -start &153 +start &165 end &50 sat 32 eat 1 @@ -4476,10 +4892,10 @@ tm "WireNameMgr" ) on &84 ) -*156 (Wire +*168 (Wire uid 1362,0 optionalChildren [ -*157 (BdJunction +*169 (BdJunction uid 1392,0 ps "OnConnectorStrategy" shape (Circle @@ -4506,7 +4922,7 @@ pts [ "78000,6000" ] ) -start &151 +start &163 end &50 sat 32 eat 1 @@ -4531,10 +4947,10 @@ tm "WireNameMgr" ) on &83 ) -*158 (Wire +*170 (Wire uid 1370,0 optionalChildren [ -*159 (BdJunction +*171 (BdJunction uid 1400,0 ps "OnConnectorStrategy" shape (Circle @@ -4561,7 +4977,7 @@ pts [ "78000,7000" ] ) -start &149 +start &161 end &50 sat 32 eat 1 @@ -4586,7 +5002,7 @@ tm "WireNameMgr" ) on &82 ) -*160 (Wire +*172 (Wire uid 1378,0 shape (OrthoPolyLine uid 1379,0 @@ -4601,7 +5017,7 @@ pts [ "51000,5000" ] ) -start &155 +start &167 end &46 sat 32 eat 1 @@ -4626,7 +5042,7 @@ tm "WireNameMgr" ) on &84 ) -*161 (Wire +*173 (Wire uid 1386,0 shape (OrthoPolyLine uid 1387,0 @@ -4641,7 +5057,7 @@ pts [ "51000,6000" ] ) -start &157 +start &169 end &46 sat 32 eat 1 @@ -4666,7 +5082,7 @@ tm "WireNameMgr" ) on &83 ) -*162 (Wire +*174 (Wire uid 1394,0 shape (OrthoPolyLine uid 1395,0 @@ -4681,7 +5097,7 @@ pts [ "51000,7000" ] ) -start &159 +start &171 end &46 sat 32 eat 1 @@ -4706,7 +5122,7 @@ tm "WireNameMgr" ) on &82 ) -*163 (Wire +*175 (Wire uid 1402,0 shape (OrthoPolyLine uid 1403,0 @@ -4721,7 +5137,7 @@ pts [ "78000,3000" ] ) -start &138 +start &150 end &50 sat 32 eat 1 @@ -4746,7 +5162,7 @@ tm "WireNameMgr" ) on &6 ) -*164 (Wire +*176 (Wire uid 1410,0 shape (OrthoPolyLine uid 1411,0 @@ -4761,7 +5177,7 @@ pts [ "51000,3000" ] ) -start &97 +start &109 end &46 sat 32 eat 1 @@ -4786,7 +5202,7 @@ tm "WireNameMgr" ) on &6 ) -*165 (Wire +*177 (Wire uid 1583,0 shape (OrthoPolyLine uid 1584,0 @@ -4821,7 +5237,7 @@ tm "WireNameMgr" ) on &71 ) -*166 (Wire +*178 (Wire uid 1591,0 shape (OrthoPolyLine uid 1592,0 @@ -4856,16 +5272,16 @@ tm "WireNameMgr" ) on &72 ) -*167 (Wire +*179 (Wire uid 1599,0 shape (OrthoPolyLine uid 1600,0 va (VaSet vasetType 3 ) -xt "37000,20000,47000,20000" +xt "43000,20000,47000,20000" pts [ -"37000,20000" +"43000,20000" "47000,20000" ] ) @@ -4883,24 +5299,24 @@ f (Text uid 1606,0 va (VaSet ) -xt "39000,18800,41200,20000" +xt "45000,18800,47200,20000" st "clk" -blo "39000,19800" +blo "45000,19800" tm "WireNameMgr" ) ) on &71 ) -*168 (Wire +*180 (Wire uid 1607,0 shape (OrthoPolyLine uid 1608,0 va (VaSet vasetType 3 ) -xt "37000,21000,47000,21000" +xt "43000,21000,47000,21000" pts [ -"37000,21000" +"43000,21000" "47000,21000" ] ) @@ -4918,15 +5334,15 @@ f (Text uid 1614,0 va (VaSet ) -xt "39000,19800,41100,21000" +xt "45000,19800,47100,21000" st "rst" -blo "39000,20800" +blo "45000,20800" tm "WireNameMgr" ) ) on &72 ) -*169 (Wire +*181 (Wire uid 1617,0 shape (OrthoPolyLine uid 1618,0 @@ -4963,16 +5379,16 @@ tm "WireNameMgr" ) on &85 ) -*170 (Wire +*182 (Wire uid 1627,0 shape (OrthoPolyLine uid 1628,0 va (VaSet vasetType 3 ) -xt "64000,20000,74000,20000" +xt "70000,20000,74000,20000" pts [ -"64000,20000" +"70000,20000" "74000,20000" ] ) @@ -4990,24 +5406,24 @@ f (Text uid 1634,0 va (VaSet ) -xt "66000,18800,68200,20000" +xt "72000,18800,74200,20000" st "clk" -blo "66000,19800" +blo "72000,19800" tm "WireNameMgr" ) ) on &71 ) -*171 (Wire +*183 (Wire uid 1635,0 shape (OrthoPolyLine uid 1636,0 va (VaSet vasetType 3 ) -xt "64000,21000,74000,21000" +xt "70000,21000,74000,21000" pts [ -"64000,21000" +"70000,21000" "74000,21000" ] ) @@ -5025,14 +5441,90 @@ f (Text uid 1642,0 va (VaSet ) -xt "66000,19800,68100,21000" +xt "72000,19800,74100,21000" st "rst" -blo "66000,20800" +blo "72000,20800" tm "WireNameMgr" ) ) on &72 ) +*184 (Wire +uid 2246,0 +shape (OrthoPolyLine +uid 2247,0 +va (VaSet +vasetType 3 +) +xt "-2000,14000,70001,14000" +pts [ +"-2000,14000" +"70001,14000" +] +) +start &88 +end &131 +sat 32 +eat 32 +sl "(0)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2248,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2249,0 +va (VaSet +) +xt "0,12800,8700,14000" +st "sensor_bus(0)" +blo "0,13800" +tm "WireNameMgr" +) +) +on &60 +) +*185 (Wire +uid 2252,0 +shape (OrthoPolyLine +uid 2253,0 +va (VaSet +vasetType 3 +) +xt "-11000,15000,70001,15000" +pts [ +"-11000,15000" +"70001,15000" +] +) +start &94 +end &132 +sat 32 +eat 32 +sl "(1)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2254,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2255,0 +va (VaSet +) +xt "-9000,13800,-300,15000" +st "sensor_bus(1)" +blo "-9000,14800" +tm "WireNameMgr" +) +) +on &60 +) ] bg "65535,65535,65535" grid (Grid @@ -5045,11 +5537,11 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *172 (PackageList +packageList *186 (PackageList uid 233,0 stg "VerticalLayoutStrategy" textVec [ -*173 (Text +*187 (Text uid 234,0 va (VaSet isHidden 1 @@ -5059,15 +5551,17 @@ xt "0,0,7600,1200" st "Package List" blo "0,1000" ) -*174 (MLText +*188 (MLText uid 235,0 va (VaSet isHidden 1 ) -xt "0,1200,17500,4800" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; - USE ieee.numeric_std.all;" + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" tm "PackageList" ) ] @@ -5076,7 +5570,7 @@ compDirBlock (MlTextGroup uid 236,0 stg "VerticalLayoutStrategy" textVec [ -*175 (Text +*189 (Text uid 237,0 va (VaSet isHidden 1 @@ -5086,7 +5580,7 @@ xt "20000,0,30800,1200" st "Compiler Directives" blo "20000,1000" ) -*176 (Text +*190 (Text uid 238,0 va (VaSet isHidden 1 @@ -5096,7 +5590,7 @@ xt "20000,1200,33100,2400" st "Pre-module directives:" blo "20000,2200" ) -*177 (MLText +*191 (MLText uid 239,0 va (VaSet isHidden 1 @@ -5106,7 +5600,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*178 (Text +*192 (Text uid 240,0 va (VaSet isHidden 1 @@ -5116,7 +5610,7 @@ xt "20000,4800,33700,6000" st "Post-module directives:" blo "20000,5800" ) -*179 (MLText +*193 (MLText uid 241,0 va (VaSet isHidden 1 @@ -5124,7 +5618,7 @@ isHidden 1 xt "20000,0,20000,0" tm "BdCompilerDirectivesTextMgr" ) -*180 (Text +*194 (Text uid 242,0 va (VaSet isHidden 1 @@ -5134,7 +5628,7 @@ xt "20000,6000,33200,7200" st "End-module directives:" blo "20000,7000" ) -*181 (MLText +*195 (MLText uid 243,0 va (VaSet isHidden 1 @@ -5145,12 +5639,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,24,1715,1143" -viewArea "-8000,-26400,110960,50640" -cachedDiagramExtent "-10000,-20200,128400,50000" +windowSize "0,11,1715,1130" +viewArea "-20675,-15400,90850,58925" +cachedDiagramExtent "-37000,-20200,122900,50000" hasePageBreakOrigin 1 pageBreakOrigin "-82000,-49000" -lastUid 1831,0 +lastUid 3379,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -5240,7 +5734,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*182 (Text +*196 (Text va (VaSet font "Verdana,9,1" ) @@ -5249,7 +5743,7 @@ st "" blo "1300,4200" tm "BdLibraryNameMgr" ) -*183 (Text +*197 (Text va (VaSet font "Verdana,9,1" ) @@ -5258,7 +5752,7 @@ st "" blo "1300,5400" tm "BlkNameMgr" ) -*184 (Text +*198 (Text va (VaSet font "Verdana,9,1" ) @@ -5309,7 +5803,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*185 (Text +*199 (Text va (VaSet font "Verdana,9,1" ) @@ -5317,7 +5811,7 @@ xt "-350,3200,3750,4400" st "Library" blo "-350,4200" ) -*186 (Text +*200 (Text va (VaSet font "Verdana,9,1" ) @@ -5325,7 +5819,7 @@ xt "-350,4400,8350,5600" st "MWComponent" blo "-350,5400" ) -*187 (Text +*201 (Text va (VaSet font "Verdana,9,1" ) @@ -5374,7 +5868,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*188 (Text +*202 (Text va (VaSet font "Verdana,9,1" ) @@ -5383,7 +5877,7 @@ st "Library" blo "0,4200" tm "BdLibraryNameMgr" ) -*189 (Text +*203 (Text va (VaSet font "Verdana,9,1" ) @@ -5392,7 +5886,7 @@ st "SaComponent" blo "0,5400" tm "CptNameMgr" ) -*190 (Text +*204 (Text va (VaSet font "Verdana,9,1" ) @@ -5446,7 +5940,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*191 (Text +*205 (Text va (VaSet font "Verdana,9,1" ) @@ -5454,7 +5948,7 @@ xt "-500,3200,3600,4400" st "Library" blo "-500,4200" ) -*192 (Text +*206 (Text va (VaSet font "Verdana,9,1" ) @@ -5462,7 +5956,7 @@ xt "-500,4400,8500,5600" st "VhdlComponent" blo "-500,5400" ) -*193 (Text +*207 (Text va (VaSet font "Verdana,9,1" ) @@ -5507,7 +6001,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*194 (Text +*208 (Text va (VaSet font "Verdana,9,1" ) @@ -5515,7 +6009,7 @@ xt "-1150,3200,2950,4400" st "Library" blo "-1150,4200" ) -*195 (Text +*209 (Text va (VaSet font "Verdana,9,1" ) @@ -5523,7 +6017,7 @@ xt "-1150,4400,9150,5600" st "VerilogComponent" blo "-1150,5400" ) -*196 (Text +*210 (Text va (VaSet font "Verdana,9,1" ) @@ -5564,7 +6058,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*197 (Text +*211 (Text va (VaSet font "Verdana,9,1" ) @@ -5573,7 +6067,7 @@ st "eb1" blo "2800,4800" tm "HdlTextNameMgr" ) -*198 (Text +*212 (Text va (VaSet font "Verdana,9,1" ) @@ -5976,7 +6470,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*199 (Text +*213 (Text va (VaSet font "Verdana,9,1" ) @@ -5984,7 +6478,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*200 (MLText +*214 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -6036,7 +6530,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*201 (Text +*215 (Text va (VaSet font "Verdana,9,1" ) @@ -6044,7 +6538,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*202 (MLText +*216 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -6195,49 +6689,49 @@ commonDM (CommonDM ldm (LogicalDM suid 55,0 usingSuid 1 -emptyRow *203 (LEmptyRow +emptyRow *217 (LEmptyRow ) uid 246,0 optionalChildren [ -*204 (RefLabelRowHdr +*218 (RefLabelRowHdr ) -*205 (TitleRowHdr +*219 (TitleRowHdr ) -*206 (FilterRowHdr +*220 (FilterRowHdr ) -*207 (RefLabelColHdr +*221 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*208 (RowExpandColHdr +*222 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*209 (GroupColHdr +*223 (GroupColHdr tm "GroupColHdrMgr" ) -*210 (NameColHdr +*224 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*211 (ModeColHdr +*225 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*212 (TypeColHdr +*226 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*213 (BoundsColHdr +*227 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*214 (InitColHdr +*228 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*215 (EolColHdr +*229 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*216 (LeafLogPort +*230 (LeafLogPort port (LogicalPort lang 11 decl (Decl n "Position" -t "std_uLogic_vector" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 suid 3,0 @@ -6245,7 +6739,7 @@ suid 3,0 ) uid 177,0 ) -*217 (LeafLogPort +*231 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -6258,7 +6752,7 @@ suid 1,0 ) uid 179,0 ) -*218 (LeafLogPort +*232 (LeafLogPort port (LogicalPort decl (Decl n "sensor1" @@ -6269,7 +6763,7 @@ suid 7,0 ) uid 185,0 ) -*219 (LeafLogPort +*233 (LeafLogPort port (LogicalPort decl (Decl n "sensor2" @@ -6280,7 +6774,7 @@ suid 8,0 ) uid 187,0 ) -*220 (LeafLogPort +*234 (LeafLogPort port (LogicalPort decl (Decl n "testMode" @@ -6291,13 +6785,13 @@ suid 10,0 ) uid 189,0 ) -*221 (LeafLogPort +*235 (LeafLogPort port (LogicalPort lang 11 m 1 decl (Decl n "Power" -t "std_uLogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 8 suid 4,0 @@ -6305,7 +6799,7 @@ suid 4,0 ) uid 191,0 ) -*222 (LeafLogPort +*236 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -6318,7 +6812,7 @@ suid 5,0 ) uid 193,0 ) -*223 (LeafLogPort +*237 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -6331,20 +6825,21 @@ suid 9,0 ) uid 195,0 ) -*224 (LeafLogPort +*238 (LeafLogPort port (LogicalPort +lang 11 m 1 decl (Decl n "testOut" t "std_uLogic_vector" -b "(1 TO testLineNb)" +b "(1 DOWNTO 0)" o 11 suid 11,0 ) ) uid 197,0 ) -*225 (LeafLogPort +*239 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -6357,7 +6852,7 @@ suid 12,0 ) uid 199,0 ) -*226 (LeafLogPort +*240 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6370,13 +6865,13 @@ suid 23,0 ) uid 583,0 ) -*227 (LeafLogPort +*241 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl n "power_acceleration" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 22 suid 24,0 @@ -6384,7 +6879,7 @@ suid 24,0 ) uid 585,0 ) -*228 (LeafLogPort +*242 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6397,33 +6892,35 @@ suid 25,0 ) uid 587,0 ) -*229 (LeafLogPort +*243 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl n "power_cruse" -t "std_ulogic" +t "unsigned" +b "(7 DOWNTO 0)" o 23 suid 26,0 ) ) uid 589,0 ) -*230 (LeafLogPort +*244 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl n "power_deceleration" -t "std_ulogic" +t "unsigned" +b "(7 DOWNTO 0)" o 24 suid 27,0 ) ) uid 591,0 ) -*231 (LeafLogPort +*245 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6436,7 +6933,7 @@ suid 28,0 ) uid 593,0 ) -*232 (LeafLogPort +*246 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6450,7 +6947,7 @@ suid 30,0 ) uid 595,0 ) -*233 (LeafLogPort +*247 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6464,7 +6961,7 @@ suid 34,0 ) uid 665,0 ) -*234 (LeafLogPort +*248 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6478,7 +6975,7 @@ suid 35,0 ) uid 667,0 ) -*235 (LeafLogPort +*249 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6492,7 +6989,7 @@ suid 36,0 ) uid 669,0 ) -*236 (LeafLogPort +*250 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6505,7 +7002,7 @@ suid 39,0 ) uid 707,0 ) -*237 (LeafLogPort +*251 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6518,7 +7015,7 @@ suid 40,0 ) uid 709,0 ) -*238 (LeafLogPort +*252 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6531,7 +7028,7 @@ suid 42,0 ) uid 711,0 ) -*239 (LeafLogPort +*253 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -6543,7 +7040,7 @@ suid 43,0 ) uid 904,0 ) -*240 (LeafLogPort +*254 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -6555,7 +7052,7 @@ suid 44,0 ) uid 906,0 ) -*241 (LeafLogPort +*255 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6568,7 +7065,7 @@ suid 46,0 ) uid 1165,0 ) -*242 (LeafLogPort +*256 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6582,7 +7079,7 @@ suid 51,0 ) uid 1418,0 ) -*243 (LeafLogPort +*257 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6596,7 +7093,7 @@ suid 52,0 ) uid 1420,0 ) -*244 (LeafLogPort +*258 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6610,7 +7107,7 @@ suid 53,0 ) uid 1422,0 ) -*245 (LeafLogPort +*259 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6630,7 +7127,7 @@ displayShortBounds 1 editShortBounds 1 uid 259,0 optionalChildren [ -*246 (Sheet +*260 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -6647,208 +7144,208 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *247 (MRCItem -litem &203 +emptyMRCItem *261 (MRCItem +litem &217 pos 30 dimension 20 ) uid 261,0 optionalChildren [ -*248 (MRCItem -litem &204 +*262 (MRCItem +litem &218 pos 0 dimension 20 uid 262,0 ) -*249 (MRCItem -litem &205 +*263 (MRCItem +litem &219 pos 1 dimension 23 uid 263,0 ) -*250 (MRCItem -litem &206 +*264 (MRCItem +litem &220 pos 2 hidden 1 dimension 20 uid 264,0 ) -*251 (MRCItem -litem &216 +*265 (MRCItem +litem &230 pos 2 dimension 20 uid 178,0 ) -*252 (MRCItem -litem &217 +*266 (MRCItem +litem &231 pos 0 dimension 20 uid 180,0 ) -*253 (MRCItem -litem &218 +*267 (MRCItem +litem &232 pos 6 dimension 20 uid 186,0 ) -*254 (MRCItem -litem &219 +*268 (MRCItem +litem &233 pos 7 dimension 20 uid 188,0 ) -*255 (MRCItem -litem &220 +*269 (MRCItem +litem &234 pos 9 dimension 20 uid 190,0 ) -*256 (MRCItem -litem &221 +*270 (MRCItem +litem &235 pos 3 dimension 20 uid 192,0 ) -*257 (MRCItem -litem &222 +*271 (MRCItem +litem &236 pos 4 dimension 20 uid 194,0 ) -*258 (MRCItem -litem &223 +*272 (MRCItem +litem &237 pos 8 dimension 20 uid 196,0 ) -*259 (MRCItem -litem &224 +*273 (MRCItem +litem &238 pos 10 dimension 20 uid 198,0 ) -*260 (MRCItem -litem &225 +*274 (MRCItem +litem &239 pos 11 dimension 20 uid 200,0 ) -*261 (MRCItem -litem &226 +*275 (MRCItem +litem &240 pos 12 dimension 20 uid 584,0 ) -*262 (MRCItem -litem &227 +*276 (MRCItem +litem &241 pos 13 dimension 20 uid 586,0 ) -*263 (MRCItem -litem &228 +*277 (MRCItem +litem &242 pos 14 dimension 20 uid 588,0 ) -*264 (MRCItem -litem &229 +*278 (MRCItem +litem &243 pos 15 dimension 20 uid 590,0 ) -*265 (MRCItem -litem &230 +*279 (MRCItem +litem &244 pos 16 dimension 20 uid 592,0 ) -*266 (MRCItem -litem &231 +*280 (MRCItem +litem &245 pos 17 dimension 20 uid 594,0 ) -*267 (MRCItem -litem &232 +*281 (MRCItem +litem &246 pos 18 dimension 20 uid 596,0 ) -*268 (MRCItem -litem &233 +*282 (MRCItem +litem &247 pos 19 dimension 20 uid 666,0 ) -*269 (MRCItem -litem &234 +*283 (MRCItem +litem &248 pos 20 dimension 20 uid 668,0 ) -*270 (MRCItem -litem &235 +*284 (MRCItem +litem &249 pos 21 dimension 20 uid 670,0 ) -*271 (MRCItem -litem &236 +*285 (MRCItem +litem &250 pos 22 dimension 20 uid 708,0 ) -*272 (MRCItem -litem &237 +*286 (MRCItem +litem &251 pos 23 dimension 20 uid 710,0 ) -*273 (MRCItem -litem &238 +*287 (MRCItem +litem &252 pos 24 dimension 20 uid 712,0 ) -*274 (MRCItem -litem &239 +*288 (MRCItem +litem &253 pos 1 dimension 20 uid 905,0 ) -*275 (MRCItem -litem &240 +*289 (MRCItem +litem &254 pos 5 dimension 20 uid 907,0 ) -*276 (MRCItem -litem &241 +*290 (MRCItem +litem &255 pos 25 dimension 20 uid 1166,0 ) -*277 (MRCItem -litem &242 +*291 (MRCItem +litem &256 pos 26 dimension 20 uid 1419,0 ) -*278 (MRCItem -litem &243 +*292 (MRCItem +litem &257 pos 27 dimension 20 uid 1421,0 ) -*279 (MRCItem -litem &244 +*293 (MRCItem +litem &258 pos 28 dimension 20 uid 1423,0 ) -*280 (MRCItem -litem &245 +*294 (MRCItem +litem &259 pos 29 dimension 20 uid 1644,0 @@ -6864,50 +7361,50 @@ textAngle 90 ) uid 265,0 optionalChildren [ -*281 (MRCItem -litem &207 +*295 (MRCItem +litem &221 pos 0 dimension 20 uid 266,0 ) -*282 (MRCItem -litem &209 +*296 (MRCItem +litem &223 pos 1 dimension 50 uid 267,0 ) -*283 (MRCItem -litem &210 +*297 (MRCItem +litem &224 pos 2 dimension 100 uid 268,0 ) -*284 (MRCItem -litem &211 +*298 (MRCItem +litem &225 pos 3 dimension 50 uid 269,0 ) -*285 (MRCItem -litem &212 +*299 (MRCItem +litem &226 pos 4 dimension 100 uid 270,0 ) -*286 (MRCItem -litem &213 +*300 (MRCItem +litem &227 pos 5 dimension 100 uid 271,0 ) -*287 (MRCItem -litem &214 +*301 (MRCItem +litem &228 pos 6 dimension 50 uid 272,0 ) -*288 (MRCItem -litem &215 +*302 (MRCItem +litem &229 pos 7 dimension 80 uid 273,0 @@ -6927,38 +7424,38 @@ uid 245,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *289 (LEmptyRow +emptyRow *303 (LEmptyRow ) uid 275,0 optionalChildren [ -*290 (RefLabelRowHdr +*304 (RefLabelRowHdr ) -*291 (TitleRowHdr +*305 (TitleRowHdr ) -*292 (FilterRowHdr +*306 (FilterRowHdr ) -*293 (RefLabelColHdr +*307 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*294 (RowExpandColHdr +*308 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*295 (GroupColHdr +*309 (GroupColHdr tm "GroupColHdrMgr" ) -*296 (NameColHdr +*310 (NameColHdr tm "GenericNameColHdrMgr" ) -*297 (TypeColHdr +*311 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*298 (InitColHdr +*312 (InitColHdr tm "GenericValueColHdrMgr" ) -*299 (PragmaColHdr +*313 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*300 (EolColHdr +*314 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -6968,7 +7465,7 @@ displayShortBounds 1 editShortBounds 1 uid 287,0 optionalChildren [ -*301 (Sheet +*315 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -6985,27 +7482,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *302 (MRCItem -litem &289 +emptyMRCItem *316 (MRCItem +litem &303 pos 0 dimension 20 ) uid 289,0 optionalChildren [ -*303 (MRCItem -litem &290 +*317 (MRCItem +litem &304 pos 0 dimension 20 uid 290,0 ) -*304 (MRCItem -litem &291 +*318 (MRCItem +litem &305 pos 1 dimension 23 uid 291,0 ) -*305 (MRCItem -litem &292 +*319 (MRCItem +litem &306 pos 2 hidden 1 dimension 20 @@ -7022,44 +7519,44 @@ textAngle 90 ) uid 293,0 optionalChildren [ -*306 (MRCItem -litem &293 +*320 (MRCItem +litem &307 pos 0 dimension 20 uid 294,0 ) -*307 (MRCItem -litem &295 +*321 (MRCItem +litem &309 pos 1 dimension 50 uid 295,0 ) -*308 (MRCItem -litem &296 +*322 (MRCItem +litem &310 pos 2 dimension 100 uid 296,0 ) -*309 (MRCItem -litem &297 +*323 (MRCItem +litem &311 pos 3 dimension 100 uid 297,0 ) -*310 (MRCItem -litem &298 +*324 (MRCItem +litem &312 pos 4 dimension 50 uid 298,0 ) -*311 (MRCItem -litem &299 +*325 (MRCItem +litem &313 pos 5 dimension 50 uid 299,0 ) -*312 (MRCItem -litem &300 +*326 (MRCItem +litem &314 pos 6 dimension 80 uid 300,0 @@ -7078,5 +7575,5 @@ vaOverrides [ uid 274,0 type 1 ) -activeModelName "BlockDiag:CDM" +activeModelName "BlockDiag" ) diff --git a/Cursor/hds/@main/symbol.sb b/Cursor/hds/@main/symbol.sb index 59759ce..ddc3f5a 100644 --- a/Cursor/hds/@main/symbol.sb +++ b/Cursor/hds/@main/symbol.sb @@ -90,7 +90,7 @@ port (LogicalPort lang 11 decl (Decl n "Position" -t "std_uLogic_vector" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 suid 77,0 @@ -104,7 +104,7 @@ lang 11 m 1 decl (Decl n "Power" -t "std_uLogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 8 suid 78,0 @@ -185,11 +185,12 @@ uid 957,0 ) *24 (LogPort port (LogicalPort +lang 11 m 1 decl (Decl n "testOut" t "std_uLogic_vector" -b "(1 TO testLineNb)" +b "(1 DOWNTO 0)" o 11 suid 85,0 ) @@ -604,19 +605,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\M ) (vvPair variable "date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "17" +value "21" ) (vvPair variable "entity_name" @@ -644,7 +645,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -656,7 +657,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:37:10" +value "14:07:25" ) (vvPair variable "group" @@ -728,7 +729,7 @@ value "symbol" ) (vvPair variable "time" -value "09:37:10" +value "14:07:25" ) (vvPair variable "unit" @@ -888,14 +889,14 @@ va (VaSet font "Courier New,8,0" ) xt "44000,2400,71500,3200" -st "Position : IN std_uLogic_vector (15 DOWNTO 0) ; +st "Position : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "Position" -t "std_uLogic_vector" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 suid 77,0 @@ -935,8 +936,8 @@ uid 898,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8000,71000,8800" -st "Power : OUT std_uLogic_vector (7 DOWNTO 0) ; +xt "44000,8000,66500,8800" +st "Power : OUT unsigned (7 DOWNTO 0) ; " ) thePort (LogicalPort @@ -944,7 +945,7 @@ lang 11 m 1 decl (Decl n "Power" -t "std_uLogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 8 suid 78,0 @@ -1260,16 +1261,17 @@ uid 933,0 va (VaSet font "Courier New,8,0" ) -xt "44000,10400,73500,11200" -st "testOut : OUT std_uLogic_vector (1 TO testLineNb) ; +xt "44000,10400,71000,11200" +st "testOut : OUT std_uLogic_vector (1 DOWNTO 0) ; " ) thePort (LogicalPort +lang 11 m 1 decl (Decl n "testOut" t "std_uLogic_vector" -b "(1 TO testLineNb)" +b "(1 DOWNTO 0)" o 11 suid 85,0 ) @@ -2029,6 +2031,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 985,0 +lastUid 1146,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@motor_side/interface b/Cursor/hds/@motor_side/interface index 6a6bdd1..c4a26b9 100644 --- a/Cursor/hds/@motor_side/interface +++ b/Cursor/hds/@motor_side/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 21,0 +suid 28,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -72,23 +72,23 @@ decl (Decl n "clock" t "std_ulogic" o 3 -suid 15,0 +suid 22,0 ) ) -uid 402,0 +uid 474,0 ) *15 (LogPort port (LogicalPort lang 11 decl (Decl n "Power" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 1 -suid 16,0 +suid 23,0 ) ) -uid 404,0 +uid 476,0 ) *16 (LogPort port (LogicalPort @@ -97,10 +97,10 @@ decl (Decl n "PWM_out" t "std_ulogic" o 12 -suid 17,0 +suid 24,0 ) ) -uid 406,0 +uid 478,0 ) *17 (LogPort port (LogicalPort @@ -109,10 +109,10 @@ decl (Decl n "reset" t "std_ulogic" o 5 -suid 18,0 +suid 25,0 ) ) -uid 408,0 +uid 480,0 ) *18 (LogPort port (LogicalPort @@ -122,10 +122,10 @@ decl (Decl n "side1" t "std_uLogic" o 6 -suid 19,0 +suid 26,0 ) ) -uid 410,0 +uid 482,0 ) *19 (LogPort port (LogicalPort @@ -135,10 +135,10 @@ decl (Decl n "side2" t "std_uLogic" o 7 -suid 20,0 +suid 27,0 ) ) -uid 412,0 +uid 484,0 ) *20 (LogPort port (LogicalPort @@ -147,10 +147,10 @@ decl (Decl n "SideL" t "std_ulogic" o 2 -suid 21,0 +suid 28,0 ) ) -uid 414,0 +uid 486,0 ) ] ) @@ -206,43 +206,43 @@ uid 113,0 litem &14 pos 0 dimension 20 -uid 403,0 +uid 475,0 ) *27 (MRCItem litem &15 pos 1 dimension 20 -uid 405,0 +uid 477,0 ) *28 (MRCItem litem &16 pos 2 dimension 20 -uid 407,0 +uid 479,0 ) *29 (MRCItem litem &17 pos 3 dimension 20 -uid 409,0 +uid 481,0 ) *30 (MRCItem litem &18 pos 4 dimension 20 -uid 411,0 +uid 483,0 ) *31 (MRCItem litem &19 pos 5 dimension 20 -uid 413,0 +uid 485,0 ) *32 (MRCItem litem &20 pos 6 dimension 20 -uid 415,0 +uid 487,0 ) ] ) @@ -517,19 +517,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\M ) (vvPair variable "date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "17" +value "21" ) (vvPair variable "entity_name" @@ -557,7 +557,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -569,7 +569,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:37:40" +value "13:44:20" ) (vvPair variable "group" @@ -641,7 +641,7 @@ value "interface" ) (vvPair variable "time" -value "09:37:40" +value "13:44:20" ) (vvPair variable "unit" @@ -676,10 +676,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *66 (CptPort -uid 367,0 +uid 439,0 ps "OnEdgeStrategy" shape (Triangle -uid 368,0 +uid 440,0 ro 180 va (VaSet vasetType 1 @@ -688,11 +688,11 @@ fg "0,65535,0" xt "17625,5250,18375,6000" ) tg (CPTG -uid 369,0 +uid 441,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 370,0 +uid 442,0 ro 270 va (VaSet font "Verdana,12,0" @@ -705,7 +705,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 371,0 +uid 443,0 va (VaSet font "Courier New,8,0" ) @@ -719,15 +719,15 @@ decl (Decl n "clock" t "std_ulogic" o 3 -suid 15,0 +suid 22,0 ) ) ) *67 (CptPort -uid 372,0 +uid 444,0 ps "OnEdgeStrategy" shape (Triangle -uid 373,0 +uid 445,0 ro 90 va (VaSet vasetType 1 @@ -736,11 +736,11 @@ fg "0,65535,0" xt "14250,13625,15000,14375" ) tg (CPTG -uid 374,0 +uid 446,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 375,0 +uid 447,0 va (VaSet font "Verdana,12,0" ) @@ -751,30 +751,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 376,0 +uid 448,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,70500,4000" -st "Power : IN std_ulogic_vector (7 DOWNTO 0) ; +xt "44000,3200,66000,4000" +st "Power : IN unsigned (7 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "Power" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 1 -suid 16,0 +suid 23,0 ) ) ) *68 (CptPort -uid 377,0 +uid 449,0 ps "OnEdgeStrategy" shape (Triangle -uid 378,0 +uid 450,0 ro 90 va (VaSet vasetType 1 @@ -783,11 +783,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 379,0 +uid 451,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 380,0 +uid 452,0 va (VaSet font "Verdana,12,0" ) @@ -798,7 +798,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 381,0 +uid 453,0 va (VaSet font "Courier New,8,0" ) @@ -812,15 +812,15 @@ decl (Decl n "PWM_out" t "std_ulogic" o 12 -suid 17,0 +suid 24,0 ) ) ) *69 (CptPort -uid 382,0 +uid 454,0 ps "OnEdgeStrategy" shape (Triangle -uid 383,0 +uid 455,0 ro 180 va (VaSet vasetType 1 @@ -829,11 +829,11 @@ fg "0,65535,0" xt "20625,5250,21375,6000" ) tg (CPTG -uid 384,0 +uid 456,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 385,0 +uid 457,0 ro 270 va (VaSet font "Verdana,12,0" @@ -846,7 +846,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 386,0 +uid 458,0 va (VaSet font "Courier New,8,0" ) @@ -860,15 +860,15 @@ decl (Decl n "reset" t "std_ulogic" o 5 -suid 18,0 +suid 25,0 ) ) ) *70 (CptPort -uid 387,0 +uid 459,0 ps "OnEdgeStrategy" shape (Triangle -uid 388,0 +uid 460,0 ro 90 va (VaSet vasetType 1 @@ -877,11 +877,11 @@ fg "0,65535,0" xt "23000,8625,23750,9375" ) tg (CPTG -uid 389,0 +uid 461,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 390,0 +uid 462,0 va (VaSet font "Verdana,12,0" ) @@ -893,7 +893,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 391,0 +uid 463,0 va (VaSet font "Courier New,8,0" ) @@ -908,15 +908,15 @@ decl (Decl n "side1" t "std_uLogic" o 6 -suid 19,0 +suid 26,0 ) ) ) *71 (CptPort -uid 392,0 +uid 464,0 ps "OnEdgeStrategy" shape (Triangle -uid 393,0 +uid 465,0 ro 90 va (VaSet vasetType 1 @@ -925,11 +925,11 @@ fg "0,65535,0" xt "23000,12625,23750,13375" ) tg (CPTG -uid 394,0 +uid 466,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 395,0 +uid 467,0 va (VaSet font "Verdana,12,0" ) @@ -941,7 +941,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 396,0 +uid 468,0 va (VaSet font "Courier New,8,0" ) @@ -956,15 +956,15 @@ decl (Decl n "side2" t "std_uLogic" o 7 -suid 20,0 +suid 27,0 ) ) ) *72 (CptPort -uid 397,0 +uid 469,0 ps "OnEdgeStrategy" shape (Triangle -uid 398,0 +uid 470,0 ro 90 va (VaSet vasetType 1 @@ -973,11 +973,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 399,0 +uid 471,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 400,0 +uid 472,0 va (VaSet font "Verdana,12,0" ) @@ -988,7 +988,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 401,0 +uid 473,0 va (VaSet font "Courier New,8,0" ) @@ -1002,7 +1002,7 @@ decl (Decl n "SideL" t "std_ulogic" o 2 -suid 21,0 +suid 28,0 ) ) ) @@ -1715,6 +1715,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 415,0 +lastUid 487,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@p@w@m/fsm.sm b/Cursor/hds/@p@w@m/fsm.sm index b956fa5..9632454 100644 --- a/Cursor/hds/@p@w@m/fsm.sm +++ b/Cursor/hds/@p@w@m/fsm.sm @@ -83,19 +83,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\P ) (vvPair variable "date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "17" +value "21" ) (vvPair variable "entity_name" @@ -123,7 +123,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -135,7 +135,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "08:45:08" +value "13:48:37" ) (vvPair variable "group" @@ -207,7 +207,7 @@ value "fsm" ) (vvPair variable "time" -value "08:45:08" +value "13:48:37" ) (vvPair variable "unit" @@ -1717,8 +1717,8 @@ tm "SmCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "-8,-8,1722,1111" -viewArea "-4286,-4742,82229,52339" +windowSize "0,0,1715,1119" +viewArea "-4300,-4700,81946,51154" cachedDiagramExtent "-650,-1000,86600,47000" hasePageBreakOrigin 1 pageBreakOrigin "-1000,-2000" @@ -2091,7 +2091,7 @@ stateOrder [ name "csm" ) ] -lastUid 302,0 +lastUid 360,0 commonDM (CommonDM ldm (LogicalDM emptyRow *56 (LEmptyRow @@ -2179,7 +2179,7 @@ port (LogicalPort decl (Decl n "countOut" t "unsigned" -b "(bitNb-1 DOWNTO 0)" +b "(7 DOWNTO 0)" o 3 ) ) @@ -3415,6 +3415,6 @@ pts [ ] ) ) -activeModelName "StateMachine" +activeModelName "StateMachine:CDM" LanguageMgr "Vhdl2008LangMgr" ) diff --git a/Cursor/hds/@p@w@m/interface b/Cursor/hds/@p@w@m/interface index 3219990..83b7e2e 100644 --- a/Cursor/hds/@p@w@m/interface +++ b/Cursor/hds/@p@w@m/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 21,0 +suid 31,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -72,35 +72,35 @@ decl (Decl n "clock" t "std_ulogic" o 3 -suid 17,0 +suid 27,0 ) ) -uid 406,0 +uid 545,0 ) *15 (LogPort port (LogicalPort decl (Decl n "countOut" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 12 -suid 18,0 +suid 28,0 ) ) -uid 408,0 +uid 547,0 ) *16 (LogPort port (LogicalPort lang 11 decl (Decl n "Power" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 1 -suid 19,0 +suid 29,0 ) ) -uid 410,0 +uid 549,0 ) *17 (LogPort port (LogicalPort @@ -110,10 +110,10 @@ decl (Decl n "PWM_out" t "std_ulogic" o 12 -suid 20,0 +suid 30,0 ) ) -uid 412,0 +uid 551,0 ) *18 (LogPort port (LogicalPort @@ -122,10 +122,10 @@ decl (Decl n "reset" t "std_ulogic" o 5 -suid 21,0 +suid 31,0 ) ) -uid 414,0 +uid 553,0 ) ] ) @@ -181,31 +181,31 @@ uid 113,0 litem &14 pos 0 dimension 20 -uid 407,0 +uid 546,0 ) *25 (MRCItem litem &15 pos 1 dimension 20 -uid 409,0 +uid 548,0 ) *26 (MRCItem litem &16 pos 2 dimension 20 -uid 411,0 +uid 550,0 ) *27 (MRCItem litem &17 pos 3 dimension 20 -uid 413,0 +uid 552,0 ) *28 (MRCItem litem &18 pos 4 dimension 20 -uid 415,0 +uid 554,0 ) ] ) @@ -480,19 +480,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\P ) (vvPair variable "date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "17" +value "21" ) (vvPair variable "entity_name" @@ -520,7 +520,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -532,7 +532,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:37:40" +value "13:48:40" ) (vvPair variable "group" @@ -604,7 +604,7 @@ value "interface" ) (vvPair variable "time" -value "09:37:40" +value "13:48:40" ) (vvPair variable "unit" @@ -639,10 +639,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *62 (CptPort -uid 381,0 +uid 520,0 ps "OnEdgeStrategy" shape (Triangle -uid 382,0 +uid 521,0 ro 180 va (VaSet vasetType 1 @@ -651,11 +651,11 @@ fg "0,65535,0" xt "17625,5250,18375,6000" ) tg (CPTG -uid 383,0 +uid 522,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 384,0 +uid 523,0 ro 270 va (VaSet font "Verdana,12,0" @@ -668,7 +668,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 385,0 +uid 524,0 va (VaSet font "Courier New,8,0" ) @@ -682,15 +682,15 @@ decl (Decl n "clock" t "std_ulogic" o 3 -suid 17,0 +suid 27,0 ) ) ) *63 (CptPort -uid 386,0 +uid 525,0 ps "OnEdgeStrategy" shape (Triangle -uid 387,0 +uid 526,0 ro 90 va (VaSet vasetType 1 @@ -699,11 +699,11 @@ fg "0,65535,0" xt "14250,13625,15000,14375" ) tg (CPTG -uid 388,0 +uid 527,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 389,0 +uid 528,0 va (VaSet font "Verdana,12,0" ) @@ -714,29 +714,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 390,0 +uid 529,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,71000,4800" -st "countOut : IN std_ulogic_vector (7 DOWNTO 0) ; +xt "44000,4000,66500,4800" +st "countOut : IN unsigned (7 DOWNTO 0) ; " ) thePort (LogicalPort decl (Decl n "countOut" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 12 -suid 18,0 +suid 28,0 ) ) ) *64 (CptPort -uid 391,0 +uid 530,0 ps "OnEdgeStrategy" shape (Triangle -uid 392,0 +uid 531,0 ro 90 va (VaSet vasetType 1 @@ -745,11 +745,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 393,0 +uid 532,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 394,0 +uid 533,0 va (VaSet font "Verdana,12,0" ) @@ -760,30 +760,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 395,0 +uid 534,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,71000,3200" -st "Power : IN std_ulogic_vector (7 DOWNTO 0) ; +xt "44000,2400,66500,3200" +st "Power : IN unsigned (7 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "Power" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 1 -suid 19,0 +suid 29,0 ) ) ) *65 (CptPort -uid 396,0 +uid 535,0 ps "OnEdgeStrategy" shape (Triangle -uid 397,0 +uid 536,0 ro 90 va (VaSet vasetType 1 @@ -792,11 +792,11 @@ fg "0,65535,0" xt "23000,13625,23750,14375" ) tg (CPTG -uid 398,0 +uid 537,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 399,0 +uid 538,0 va (VaSet font "Verdana,12,0" ) @@ -808,7 +808,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 400,0 +uid 539,0 va (VaSet font "Courier New,8,0" ) @@ -823,15 +823,15 @@ decl (Decl n "PWM_out" t "std_ulogic" o 12 -suid 20,0 +suid 30,0 ) ) ) *66 (CptPort -uid 401,0 +uid 540,0 ps "OnEdgeStrategy" shape (Triangle -uid 402,0 +uid 541,0 ro 180 va (VaSet vasetType 1 @@ -840,11 +840,11 @@ fg "0,65535,0" xt "19625,5250,20375,6000" ) tg (CPTG -uid 403,0 +uid 542,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 404,0 +uid 543,0 ro 270 va (VaSet font "Verdana,12,0" @@ -857,7 +857,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 405,0 +uid 544,0 va (VaSet font "Courier New,8,0" ) @@ -871,7 +871,7 @@ decl (Decl n "reset" t "std_ulogic" o 5 -suid 21,0 +suid 31,0 ) ) ) @@ -1584,6 +1584,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 415,0 +lastUid 554,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@position/interface b/Cursor/hds/@position/interface index 61e39b1..a29fb37 100644 --- a/Cursor/hds/@position/interface +++ b/Cursor/hds/@position/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 19,0 +suid 33,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -64,12 +64,12 @@ tm "EolColHdrMgr" port (LogicalPort decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 2 -suid 13,0 +suid 27,0 ) ) -uid 342,0 +uid 670,0 ) *15 (LogPort port (LogicalPort @@ -77,10 +77,10 @@ decl (Decl n "encoderA" t "std_uLogic" o 3 -suid 14,0 +suid 28,0 ) ) -uid 344,0 +uid 672,0 ) *16 (LogPort port (LogicalPort @@ -88,10 +88,10 @@ decl (Decl n "encoderB" t "std_uLogic" o 4 -suid 15,0 +suid 29,0 ) ) -uid 346,0 +uid 674,0 ) *17 (LogPort port (LogicalPort @@ -99,10 +99,10 @@ decl (Decl n "encoderI" t "std_uLogic" o 5 -suid 16,0 +suid 30,0 ) ) -uid 348,0 +uid 676,0 ) *18 (LogPort port (LogicalPort @@ -112,11 +112,11 @@ decl (Decl n "Position" t "unsigned" b "(15 DOWNTO 0)" -o 7 -suid 17,0 +o 23 +suid 31,0 ) ) -uid 350,0 +uid 678,0 ) *19 (LogPort port (LogicalPort @@ -124,22 +124,22 @@ lang 11 decl (Decl n "RaZ" t "std_ulogic" -o 1 -suid 18,0 +o 24 +suid 32,0 ) ) -uid 352,0 +uid 680,0 ) *20 (LogPort port (LogicalPort decl (Decl n "reset" -t "std_ulogic" -o 6 -suid 19,0 +t "std_uLogic" +o 8 +suid 33,0 ) ) -uid 354,0 +uid 682,0 ) ] ) @@ -195,43 +195,43 @@ uid 106,0 litem &14 pos 0 dimension 20 -uid 343,0 +uid 671,0 ) *27 (MRCItem litem &15 pos 1 dimension 20 -uid 345,0 +uid 673,0 ) *28 (MRCItem litem &16 pos 2 dimension 20 -uid 347,0 +uid 675,0 ) *29 (MRCItem litem &17 pos 3 dimension 20 -uid 349,0 +uid 677,0 ) *30 (MRCItem litem &18 pos 4 dimension 20 -uid 351,0 +uid 679,0 ) *31 (MRCItem litem &19 pos 5 dimension 20 -uid 353,0 +uid 681,0 ) *32 (MRCItem litem &20 pos 6 dimension 20 -uid 355,0 +uid 683,0 ) ] ) @@ -462,23 +462,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\interface.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\interface.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\interface.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\interface.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -498,15 +498,15 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@position" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Position" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Position" ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -518,7 +518,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -542,11 +542,11 @@ value "interface" ) (vvPair variable "graphical_source_author" -value "remi" +value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -554,11 +554,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "16:00:58" +value "14:04:41" ) (vvPair variable "group" @@ -566,7 +566,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "language" @@ -598,11 +598,11 @@ value "d ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\interface" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\interface" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Position\\interface" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Position\\interface" ) (vvPair variable "package_name" @@ -630,7 +630,7 @@ value "interface" ) (vvPair variable "time" -value "16:00:58" +value "14:04:41" ) (vvPair variable "unit" @@ -638,7 +638,7 @@ value "Position" ) (vvPair variable "user" -value "remi" +value "Simon" ) (vvPair variable "version" @@ -665,10 +665,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *66 (CptPort -uid 307,0 +uid 635,0 ps "OnEdgeStrategy" shape (Triangle -uid 308,0 +uid 636,0 ro 90 va (VaSet vasetType 1 @@ -677,11 +677,11 @@ fg "0,65535,0" xt "14250,13625,15000,14375" ) tg (CPTG -uid 309,0 +uid 637,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 310,0 +uid 638,0 va (VaSet font "Verdana,12,0" ) @@ -692,27 +692,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 311,0 +uid 639,0 va (VaSet font "Courier New,8,0" ) xt "44000,3200,61000,4000" -st "clock : IN std_ulogic ;" +st "clock : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 2 -suid 13,0 +suid 27,0 ) ) ) *67 (CptPort -uid 312,0 +uid 640,0 ps "OnEdgeStrategy" shape (Triangle -uid 313,0 +uid 641,0 ro 90 va (VaSet vasetType 1 @@ -721,11 +722,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 314,0 +uid 642,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 315,0 +uid 643,0 va (VaSet font "Verdana,12,0" ) @@ -736,27 +737,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 316,0 +uid 644,0 va (VaSet font "Courier New,8,0" ) xt "44000,4000,61000,4800" -st "encoderA : IN std_uLogic ;" +st "encoderA : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "encoderA" t "std_uLogic" o 3 -suid 14,0 +suid 28,0 ) ) ) *68 (CptPort -uid 317,0 +uid 645,0 ps "OnEdgeStrategy" shape (Triangle -uid 318,0 +uid 646,0 ro 90 va (VaSet vasetType 1 @@ -765,11 +767,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 319,0 +uid 647,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 320,0 +uid 648,0 va (VaSet font "Verdana,12,0" ) @@ -780,27 +782,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 321,0 +uid 649,0 va (VaSet font "Courier New,8,0" ) xt "44000,4800,61000,5600" -st "encoderB : IN std_uLogic ;" +st "encoderB : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "encoderB" t "std_uLogic" o 4 -suid 15,0 +suid 29,0 ) ) ) *69 (CptPort -uid 322,0 +uid 650,0 ps "OnEdgeStrategy" shape (Triangle -uid 323,0 +uid 651,0 ro 90 va (VaSet vasetType 1 @@ -809,11 +812,11 @@ fg "0,65535,0" xt "14250,11625,15000,12375" ) tg (CPTG -uid 324,0 +uid 652,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 325,0 +uid 653,0 va (VaSet font "Verdana,12,0" ) @@ -824,27 +827,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 326,0 +uid 654,0 va (VaSet font "Courier New,8,0" ) xt "44000,5600,61000,6400" -st "encoderI : IN std_uLogic ;" +st "encoderI : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "encoderI" t "std_uLogic" o 5 -suid 16,0 +suid 30,0 ) ) ) *70 (CptPort -uid 327,0 +uid 655,0 ps "OnEdgeStrategy" shape (Triangle -uid 328,0 +uid 656,0 ro 90 va (VaSet vasetType 1 @@ -853,11 +857,11 @@ fg "0,65535,0" xt "23000,7625,23750,8375" ) tg (CPTG -uid 329,0 +uid 657,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 330,0 +uid 658,0 va (VaSet font "Verdana,12,0" ) @@ -869,12 +873,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 331,0 +uid 659,0 va (VaSet font "Courier New,8,0" ) xt "44000,7200,66000,8000" -st "Position : OUT unsigned (15 DOWNTO 0)" +st "Position : OUT unsigned (15 DOWNTO 0) +" ) thePort (LogicalPort lang 11 @@ -883,16 +888,16 @@ decl (Decl n "Position" t "unsigned" b "(15 DOWNTO 0)" -o 7 -suid 17,0 +o 23 +suid 31,0 ) ) ) *71 (CptPort -uid 332,0 +uid 660,0 ps "OnEdgeStrategy" shape (Triangle -uid 333,0 +uid 661,0 ro 270 va (VaSet vasetType 1 @@ -901,11 +906,11 @@ fg "0,65535,0" xt "23000,14625,23750,15375" ) tg (CPTG -uid 334,0 +uid 662,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 335,0 +uid 663,0 va (VaSet font "Verdana,12,0" ) @@ -917,28 +922,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 336,0 +uid 664,0 va (VaSet font "Courier New,8,0" ) xt "44000,2400,61000,3200" -st "RaZ : IN std_ulogic ;" +st "RaZ : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 decl (Decl n "RaZ" t "std_ulogic" -o 1 -suid 18,0 +o 24 +suid 32,0 ) ) ) *72 (CptPort -uid 337,0 +uid 665,0 ps "OnEdgeStrategy" shape (Triangle -uid 338,0 +uid 666,0 ro 90 va (VaSet vasetType 1 @@ -947,11 +953,11 @@ fg "0,65535,0" xt "14250,14625,15000,15375" ) tg (CPTG -uid 339,0 +uid 667,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 340,0 +uid 668,0 va (VaSet font "Verdana,12,0" ) @@ -962,19 +968,20 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 341,0 +uid 669,0 va (VaSet font "Courier New,8,0" ) xt "44000,6400,61000,7200" -st "reset : IN std_ulogic ;" +st "reset : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "reset" -t "std_ulogic" -o 6 -suid 19,0 +t "std_uLogic" +o 8 +suid 33,0 ) ) ) @@ -1063,7 +1070,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,45400,49000" +xt "36200,48000,46000,49000" st " by %user on %dd %month %year " @@ -1685,6 +1692,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 539,0 +lastUid 683,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/accelerator/fsm.sm b/Cursor/hds/accelerator/fsm.sm index ce7b42c..62bca91 100644 --- a/Cursor/hds/accelerator/fsm.sm +++ b/Cursor/hds/accelerator/fsm.sm @@ -78,7 +78,7 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\a ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -90,7 +90,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -118,7 +118,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -130,7 +130,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:16:20" +value "14:02:25" ) (vvPair variable "group" @@ -202,7 +202,7 @@ value "fsm" ) (vvPair variable "time" -value "09:16:20" +value "14:02:25" ) (vvPair variable "unit" @@ -2207,7 +2207,7 @@ tm "SmCompilerDirectivesTextMgr" associable 1 ) windowSize "0,24,1715,1143" -viewArea "14600,-900,85976,46668" +viewArea "14600,-900,85976,45324" cachedDiagramExtent "0,-1000,91678,47000" hasePageBreakOrigin 1 pageBreakOrigin "0,-2000" @@ -2581,7 +2581,7 @@ stateOrder [ name "csm" ) ] -lastUid 1140,0 +lastUid 1198,0 commonDM (CommonDM ldm (LogicalDM emptyRow *61 (LEmptyRow @@ -2644,7 +2644,7 @@ port (LogicalPort lang 11 decl (Decl n "Position" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 ) @@ -2694,7 +2694,7 @@ port (LogicalPort lang 11 decl (Decl n "info_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 4 ) @@ -3944,6 +3944,6 @@ pts [ ] ) ) -activeModelName "StateMachine" +activeModelName "StateMachine:CDM" LanguageMgr "Vhdl2008LangMgr" ) diff --git a/Cursor/hds/accelerator/interface b/Cursor/hds/accelerator/interface index 38978fc..f904b89 100644 --- a/Cursor/hds/accelerator/interface +++ b/Cursor/hds/accelerator/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 45,0 +suid 73,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -65,12 +65,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 2 -suid 39,0 +suid 67,0 ) ) -uid 616,0 +uid 950,0 ) *15 (LogPort port (LogicalPort @@ -78,37 +78,37 @@ lang 11 decl (Decl n "end_acceleration" t "std_ulogic" -o 3 -suid 40,0 +o 7 +suid 68,0 ) ) -uid 618,0 +uid 952,0 ) *16 (LogPort port (LogicalPort lang 11 decl (Decl n "info_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 4 -suid 41,0 +o 3 +suid 69,0 ) ) -uid 620,0 +uid 954,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "Position" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 -suid 42,0 +suid 70,0 ) ) -uid 622,0 +uid 956,0 ) *18 (LogPort port (LogicalPort @@ -118,23 +118,23 @@ decl (Decl n "power_acceleration" t "unsigned" b "(7 DOWNTO 0)" -o 7 -suid 43,0 +o 8 +suid 71,0 ) ) -uid 624,0 +uid 958,0 ) *19 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" -o 5 -suid 44,0 +t "std_ulogic" +o 4 +suid 72,0 ) ) -uid 626,0 +uid 960,0 ) *20 (LogPort port (LogicalPort @@ -142,11 +142,11 @@ lang 11 decl (Decl n "sideL_acceleration" t "std_ulogic" -o 6 -suid 45,0 +o 9 +suid 73,0 ) ) -uid 628,0 +uid 962,0 ) ] ) @@ -202,43 +202,43 @@ uid 106,0 litem &14 pos 0 dimension 20 -uid 617,0 +uid 951,0 ) *27 (MRCItem litem &15 pos 1 dimension 20 -uid 619,0 +uid 953,0 ) *28 (MRCItem litem &16 pos 2 dimension 20 -uid 621,0 +uid 955,0 ) *29 (MRCItem litem &17 pos 3 dimension 20 -uid 623,0 +uid 957,0 ) *30 (MRCItem litem &18 pos 4 dimension 20 -uid 625,0 +uid 959,0 ) *31 (MRCItem litem &19 pos 5 dimension 20 -uid 627,0 +uid 961,0 ) *32 (MRCItem litem &20 pos 6 dimension 20 -uid 629,0 +uid 963,0 ) ] ) @@ -513,7 +513,7 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\a ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -525,7 +525,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -553,7 +553,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -565,7 +565,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:16:20" +value "14:06:44" ) (vvPair variable "group" @@ -637,7 +637,7 @@ value "interface" ) (vvPair variable "time" -value "09:16:20" +value "14:06:44" ) (vvPair variable "unit" @@ -672,10 +672,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *66 (CptPort -uid 581,0 +uid 915,0 ps "OnEdgeStrategy" shape (Triangle -uid 582,0 +uid 916,0 ro 90 va (VaSet vasetType 1 @@ -684,11 +684,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 583,0 +uid 917,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 584,0 +uid 918,0 va (VaSet font "Verdana,12,0" ) @@ -699,29 +699,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 585,0 +uid 919,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,65000,4000" -st "clk : IN unsigned ; +xt "44000,3200,66500,4000" +st "clk : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 2 -suid 39,0 +suid 67,0 ) ) ) *67 (CptPort -uid 586,0 +uid 920,0 ps "OnEdgeStrategy" shape (Triangle -uid 587,0 +uid 921,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -729,11 +729,11 @@ fg "0,65535,0" xt "19625,16000,20375,16750" ) tg (CPTG -uid 588,0 +uid 922,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 589,0 +uid 923,0 ro 270 va (VaSet font "Verdana,12,0" @@ -745,7 +745,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 590,0 +uid 924,0 va (VaSet font "Courier New,8,0" ) @@ -758,16 +758,16 @@ lang 11 decl (Decl n "end_acceleration" t "std_ulogic" -o 3 -suid 40,0 +o 7 +suid 68,0 ) ) ) *68 (CptPort -uid 591,0 +uid 925,0 ps "OnEdgeStrategy" shape (Triangle -uid 592,0 +uid 926,0 ro 90 va (VaSet vasetType 1 @@ -776,11 +776,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 593,0 +uid 927,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 594,0 +uid 928,0 va (VaSet font "Verdana,12,0" ) @@ -791,30 +791,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 595,0 +uid 929,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,72000,5600" -st "info_acceleration : IN unsigned (15 DOWNTO 0) ; +xt "44000,4800,76500,5600" +st "info_acceleration : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "info_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 4 -suid 41,0 +o 3 +suid 69,0 ) ) ) *69 (CptPort -uid 596,0 +uid 930,0 ps "OnEdgeStrategy" shape (Triangle -uid 597,0 +uid 931,0 ro 90 va (VaSet vasetType 1 @@ -823,11 +823,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 598,0 +uid 932,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 599,0 +uid 933,0 va (VaSet font "Verdana,12,0" ) @@ -838,30 +838,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 600,0 +uid 934,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,72500,3200" -st "Position : IN std_logic (15 DOWNTO 0) ; +xt "44000,2400,76500,3200" +st "Position : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "Position" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 -suid 42,0 +suid 70,0 ) ) ) *70 (CptPort -uid 601,0 +uid 935,0 ps "OnEdgeStrategy" shape (Triangle -uid 602,0 +uid 936,0 ro 90 va (VaSet vasetType 1 @@ -870,11 +870,11 @@ fg "0,65535,0" xt "23000,6625,23750,7375" ) tg (CPTG -uid 603,0 +uid 937,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 604,0 +uid 938,0 va (VaSet font "Verdana,12,0" ) @@ -886,7 +886,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 605,0 +uid 939,0 va (VaSet font "Courier New,8,0" ) @@ -901,16 +901,16 @@ decl (Decl n "power_acceleration" t "unsigned" b "(7 DOWNTO 0)" -o 7 -suid 43,0 +o 8 +suid 71,0 ) ) ) *71 (CptPort -uid 606,0 +uid 940,0 ps "OnEdgeStrategy" shape (Triangle -uid 607,0 +uid 941,0 ro 90 va (VaSet vasetType 1 @@ -919,11 +919,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 608,0 +uid 942,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 609,0 +uid 943,0 va (VaSet font "Verdana,12,0" ) @@ -934,29 +934,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 610,0 +uid 944,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,65000,6400" -st "rst : IN unsigned ; +xt "44000,5600,66500,6400" +st "rst : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" -o 5 -suid 44,0 +t "std_ulogic" +o 4 +suid 72,0 ) ) ) *72 (CptPort -uid 611,0 +uid 945,0 ps "OnEdgeStrategy" shape (Triangle -uid 612,0 +uid 946,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -964,11 +964,11 @@ fg "0,65535,0" xt "17625,16000,18375,16750" ) tg (CPTG -uid 613,0 +uid 947,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 614,0 +uid 948,0 ro 270 va (VaSet font "Verdana,12,0" @@ -980,7 +980,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 615,0 +uid 949,0 va (VaSet font "Courier New,8,0" ) @@ -993,8 +993,8 @@ lang 11 decl (Decl n "sideL_acceleration" t "std_ulogic" -o 6 -suid 45,0 +o 9 +suid 73,0 ) ) ) @@ -1705,6 +1705,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 652,0 +lastUid 963,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/cursor@circuit/student@version.bd b/Cursor/hds/cursor@circuit/student@version.bd index bb77cf0..b459c62 100644 --- a/Cursor/hds/cursor@circuit/student@version.bd +++ b/Cursor/hds/cursor@circuit/student@version.bd @@ -66,23 +66,23 @@ value " " ) (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -102,27 +102,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit" ) (vvPair variable "date" -value "20.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "lun." +value "mar." ) (vvPair variable "day_long" -value "lundi" +value "mardi" ) (vvPair variable "dd" -value "20" +value "21" ) (vvPair variable "designName" @@ -150,11 +150,11 @@ value "student@version" ) (vvPair variable "graphical_source_author" -value "remi" +value "Simon" ) (vvPair variable "graphical_source_date" -value "20.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -162,11 +162,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "10:59:57" +value "14:09:20" ) (vvPair variable "group" @@ -174,7 +174,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "language" @@ -222,11 +222,11 @@ value "d ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit\\studentVersion.bd" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit\\studentVersion.bd" ) (vvPair variable "package_name" @@ -302,7 +302,7 @@ value "studentVersion" ) (vvPair variable "time" -value "10:59:57" +value "14:09:20" ) (vvPair variable "unit" @@ -310,7 +310,7 @@ value "cursorCircuit" ) (vvPair variable "user" -value "remi" +value "Simon" ) (vvPair variable "version" @@ -337,7 +337,7 @@ optionalChildren [ uid 209,0 decl (Decl n "reset" -t "std_ulogic" +t "std_uLogic" o 8 suid 1,0 ) @@ -346,16 +346,15 @@ uid 210,0 va (VaSet isHidden 1 ) -xt "26000,1000,37800,2200" -st "reset : std_ulogic -" +xt "26000,1000,38100,2200" +st "reset : std_uLogic" ) ) *2 (Net uid 217,0 decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 2 suid 2,0 ) @@ -364,9 +363,8 @@ uid 218,0 va (VaSet isHidden 1 ) -xt "26000,-2600,37900,-1400" -st "clock : std_ulogic -" +xt "26000,-2600,38200,-1400" +st "clock : std_uLogic" ) ) *3 (Grouping @@ -451,7 +449,7 @@ va (VaSet fg "0,0,32768" bg "0,0,32768" ) -xt "125200,150400,139700,151600" +xt "125200,150400,140600,151600" st " by %user on %dd %month %year " @@ -754,8 +752,7 @@ isHidden 1 font "Verdana,8,0" ) xt "-17000,5800,-7400,6800" -st "restart : std_uLogic -" +st "restart : std_uLogic" ) ) *16 (PortIoIn @@ -828,8 +825,7 @@ isHidden 1 font "Verdana,8,0" ) xt "-17000,5800,-6600,6800" -st "testMode : std_uLogic -" +st "testMode : std_uLogic" ) ) *18 (PortIoOut @@ -869,8 +865,8 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "129000,9300,148600,10700" -st "testOut : (1 TO testLineNb)" +xt "129000,9300,146700,10700" +st "testOut : (1 DOWNTO 0)" blo "129000,10500" tm "WireNameMgr" ) @@ -955,8 +951,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10100,1800" -st "sensor1 : std_uLogic -" +st "sensor1 : std_uLogic" ) ) *21 (PortIoIn @@ -1029,8 +1024,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10100,1800" -st "sensor2 : std_uLogic -" +st "sensor2 : std_uLogic" ) ) *23 (PortIoOut @@ -1101,8 +1095,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10500,1800" -st "motorOn : std_uLogic -" +st "motorOn : std_uLogic" ) ) *25 (PortIoOut @@ -1173,8 +1166,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,9700,1800" -st "side1 : std_uLogic -" +st "side1 : std_uLogic" ) ) *27 (PortIoOut @@ -1245,8 +1237,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,9700,1800" -st "side2 : std_uLogic -" +st "side2 : std_uLogic" ) ) *29 (PortIoIn @@ -1319,8 +1310,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10400,1800" -st "encoderA : std_uLogic -" +st "encoderA : std_uLogic" ) ) *31 (PortIoIn @@ -1393,8 +1383,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10400,1800" -st "encoderB : std_uLogic -" +st "encoderB : std_uLogic" ) ) *33 (PortIoIn @@ -1467,8 +1456,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10200,1800" -st "encoderI : std_uLogic -" +st "encoderI : std_uLogic" ) ) *35 (PortIoIn @@ -1541,8 +1529,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,9700,1800" -st "go1 : std_uLogic -" +st "go1 : std_uLogic" ) ) *37 (PortIoIn @@ -1615,8 +1602,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,9700,1800" -st "go2 : std_uLogic -" +st "go2 : std_uLogic" ) ) *39 (PortIoIn @@ -1688,8 +1674,7 @@ va (VaSet isHidden 1 ) xt "0,-23800,12800,-22600" -st "button4 : std_uLogic -" +st "button4 : std_uLogic" ) ) *41 (PortIoIn @@ -1816,8 +1801,7 @@ va (VaSet isHidden 1 ) xt "0,400,12600,1600" -st "CS1_n : std_ulogic -" +st "CS1_n : std_ulogic" ) ) *44 (Net @@ -1834,8 +1818,7 @@ va (VaSet isHidden 1 ) xt "0,400,11900,1600" -st "SCL : std_ulogic -" +st "SCL : std_ulogic" ) ) *45 (Net @@ -1852,8 +1835,7 @@ va (VaSet isHidden 1 ) xt "0,400,11300,1600" -st "SI : std_ulogic -" +st "SI : std_ulogic" ) ) *46 (Net @@ -1870,8 +1852,7 @@ va (VaSet isHidden 1 ) xt "0,400,11600,1600" -st "A0 : std_ulogic -" +st "A0 : std_ulogic" ) ) *47 (Net @@ -1888,8 +1869,7 @@ va (VaSet isHidden 1 ) xt "0,400,12500,1600" -st "RST_n : std_ulogic -" +st "RST_n : std_ulogic" ) ) *48 (PortIoOut @@ -2298,8 +2278,7 @@ va (VaSet isHidden 1 ) xt "0,0,16300,1200" -st "SIGNAL RaZ : std_ulogic -" +st "SIGNAL RaZ : std_ulogic" ) ) *62 (Net @@ -2318,8 +2297,7 @@ va (VaSet isHidden 1 ) xt "0,0,24700,1200" -st "SIGNAL Position : unsigned(15 DOWNTO 0) -" +st "SIGNAL Position : unsigned(15 DOWNTO 0)" ) ) *63 (Net @@ -2338,8 +2316,7 @@ va (VaSet isHidden 1 ) xt "0,0,23700,1200" -st "SIGNAL button : unsigned(3 DOWNTO 0) -" +st "SIGNAL button : unsigned(3 DOWNTO 0)" ) ) *64 (Net @@ -2357,8 +2334,7 @@ va (VaSet isHidden 1 ) xt "0,0,16700,1200" -st "SIGNAL unlock : std_ulogic -" +st "SIGNAL unlock : std_ulogic" ) ) *65 (Net @@ -2376,8 +2352,7 @@ va (VaSet isHidden 1 ) xt "0,0,16500,1200" -st "SIGNAL SideL : std_ulogic -" +st "SIGNAL SideL : std_ulogic" ) ) *66 (Net @@ -2385,7 +2360,7 @@ uid 11651,0 lang 11 decl (Decl n "Power" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 26 suid 87,0 @@ -2395,53 +2370,14 @@ uid 11652,0 va (VaSet isHidden 1 ) -xt "0,0,29000,1200" -st "SIGNAL Power : std_ulogic_vector(7 DOWNTO 0) -" +xt "0,0,23800,1200" +st "SIGNAL Power : unsigned(7 DOWNTO 0)" ) ) -*67 (Net -uid 11923,0 -lang 11 -decl (Decl -n "clk" -t "std_ulogic" -o 28 -suid 88,0 -) -declText (MLText -uid 11924,0 -va (VaSet -isHidden 1 -) -xt "0,0,15800,1200" -st "SIGNAL clk : std_ulogic -" -) -) -*68 (Net -uid 11933,0 -lang 11 -decl (Decl -n "rst" -t "std_ulogic" -o 29 -suid 89,0 -) -declText (MLText -uid 11934,0 -va (VaSet -isHidden 1 -) -xt "0,0,15700,1200" -st "SIGNAL rst : std_ulogic -" -) -) -*69 (SaComponent +*67 (SaComponent uid 12354,0 optionalChildren [ -*70 (CptPort +*68 (CptPort uid 12326,0 ps "OnEdgeStrategy" shape (Triangle @@ -2477,7 +2413,7 @@ suid 1,0 ) ) ) -*71 (CptPort +*69 (CptPort uid 12330,0 ps "OnEdgeStrategy" shape (Triangle @@ -2515,7 +2451,7 @@ suid 2,0 ) ) ) -*72 (CptPort +*70 (CptPort uid 12334,0 ps "OnEdgeStrategy" shape (Triangle @@ -2552,7 +2488,7 @@ suid 3,0 ) ) ) -*73 (CptPort +*71 (CptPort uid 12338,0 ps "OnEdgeStrategy" shape (Triangle @@ -2588,7 +2524,7 @@ suid 4,0 ) ) ) -*74 (CptPort +*72 (CptPort uid 12342,0 ps "OnEdgeStrategy" shape (Triangle @@ -2626,7 +2562,7 @@ suid 5,0 ) ) ) -*75 (CptPort +*73 (CptPort uid 12346,0 ps "OnEdgeStrategy" shape (Triangle @@ -2664,7 +2600,7 @@ suid 6,0 ) ) ) -*76 (CptPort +*74 (CptPort uid 12350,0 ps "OnEdgeStrategy" shape (Triangle @@ -2717,7 +2653,7 @@ uid 12356,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*77 (Text +*75 (Text uid 12357,0 va (VaSet font "Verdana,9,1" @@ -2727,7 +2663,7 @@ st "Cursor" blo "122150,65800" tm "BdLibraryNameMgr" ) -*78 (Text +*76 (Text uid 12358,0 va (VaSet font "Verdana,9,1" @@ -2737,7 +2673,7 @@ st "Driver" blo "122150,67000" tm "CptNameMgr" ) -*79 (Text +*77 (Text uid 12359,0 va (VaSet font "Verdana,9,1" @@ -2772,10 +2708,10 @@ sF 0 ) archFileType "UNKNOWN" ) -*80 (SaComponent +*78 (SaComponent uid 12411,0 optionalChildren [ -*81 (CptPort +*79 (CptPort uid 12363,0 ps "OnEdgeStrategy" shape (Triangle @@ -2805,14 +2741,14 @@ thePort (LogicalPort lang 11 decl (Decl n "button" -t "unsigned" +t "std_uLogic_vector" b "(3 DOWNTO 0)" -o 25 +o 2 suid 75,0 ) ) ) -*82 (CptPort +*80 (CptPort uid 12367,0 ps "OnEdgeStrategy" shape (Triangle @@ -2842,13 +2778,13 @@ thePort (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" -o 28 +t "std_ulogic" +o 3 suid 76,0 ) ) ) -*83 (CptPort +*81 (CptPort uid 12371,0 ps "OnEdgeStrategy" shape (Triangle @@ -2878,14 +2814,14 @@ thePort (LogicalPort lang 11 decl (Decl n "Position" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 23 +o 1 suid 77,0 ) ) ) -*84 (CptPort +*82 (CptPort uid 12375,0 ps "OnEdgeStrategy" shape (Triangle @@ -2919,12 +2855,12 @@ decl (Decl n "Power" t "unsigned" b "(7 DOWNTO 0)" -o 26 +o 8 suid 78,0 ) ) ) -*85 (CptPort +*83 (CptPort uid 12379,0 ps "OnEdgeStrategy" shape (Triangle @@ -2956,12 +2892,12 @@ m 1 decl (Decl n "RaZ" t "std_ulogic" -o 24 +o 9 suid 79,0 ) ) ) -*86 (CptPort +*84 (CptPort uid 12383,0 ps "OnEdgeStrategy" shape (Triangle @@ -2991,13 +2927,13 @@ thePort (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" -o 29 +t "std_ulogic" +o 4 suid 80,0 ) ) ) -*87 (CptPort +*85 (CptPort uid 12387,0 ps "OnEdgeStrategy" shape (Triangle @@ -3027,12 +2963,12 @@ thePort (LogicalPort decl (Decl n "sensor1" t "std_uLogic" -o 10 +o 5 suid 81,0 ) ) ) -*88 (CptPort +*86 (CptPort uid 12391,0 ps "OnEdgeStrategy" shape (Triangle @@ -3062,12 +2998,12 @@ thePort (LogicalPort decl (Decl n "sensor2" t "std_uLogic" -o 11 +o 6 suid 82,0 ) ) ) -*89 (CptPort +*87 (CptPort uid 12395,0 ps "OnEdgeStrategy" shape (Triangle @@ -3100,12 +3036,12 @@ m 1 decl (Decl n "SideL" t "std_ulogic" -o 27 +o 10 suid 83,0 ) ) ) -*90 (CptPort +*88 (CptPort uid 12399,0 ps "OnEdgeStrategy" shape (Triangle @@ -3135,12 +3071,12 @@ thePort (LogicalPort decl (Decl n "testMode" t "std_uLogic" -o 12 +o 7 suid 84,0 ) ) ) -*91 (CptPort +*89 (CptPort uid 12403,0 ps "OnEdgeStrategy" shape (Triangle @@ -3168,17 +3104,18 @@ blo "109000,10500" ) ) thePort (LogicalPort +lang 11 m 1 decl (Decl n "testOut" t "std_uLogic_vector" -b "(1 TO testLineNb)" -o 21 +b "(1 DOWNTO 0)" +o 11 suid 85,0 ) ) ) -*92 (CptPort +*90 (CptPort uid 12407,0 ps "OnEdgeStrategy" shape (Triangle @@ -3210,7 +3147,7 @@ m 1 decl (Decl n "unlock" t "std_ulogic" -o 26 +o 12 suid 86,0 ) ) @@ -3232,7 +3169,7 @@ uid 12413,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*93 (Text +*91 (Text uid 12414,0 va (VaSet font "Verdana,9,1" @@ -3242,7 +3179,7 @@ st "Cursor" blo "93150,39300" tm "BdLibraryNameMgr" ) -*94 (Text +*92 (Text uid 12415,0 va (VaSet font "Verdana,9,1" @@ -3252,7 +3189,7 @@ st "Main" blo "93150,40500" tm "CptNameMgr" ) -*95 (Text +*93 (Text uid 12416,0 va (VaSet font "Verdana,9,1" @@ -3287,12 +3224,12 @@ sF 0 ) archFileType "UNKNOWN" ) -*96 (Net +*94 (Net uid 12778,0 decl (Decl n "testOut" t "std_uLogic_vector" -b "(1 TO testLineNb)" +b "(1 DOWNTO 0)" o 29 suid 91,0 ) @@ -3301,12 +3238,11 @@ uid 12779,0 va (VaSet isHidden 1 ) -xt "0,0,26500,1200" -st "testOut : std_uLogic_vector(1 TO testLineNb) -" +xt "0,0,25100,1200" +st "testOut : std_uLogic_vector(1 DOWNTO 0)" ) ) -*97 (Wire +*95 (Wire uid 2282,0 shape (OrthoPolyLine uid 2283,0 @@ -3344,7 +3280,7 @@ tm "WireNameMgr" ) on &15 ) -*98 (Wire +*96 (Wire uid 2315,0 shape (OrthoPolyLine uid 2316,0 @@ -3358,7 +3294,7 @@ pts [ ] ) start &16 -end &90 +end &88 sat 32 eat 32 stc 0 @@ -3382,7 +3318,7 @@ tm "WireNameMgr" ) on &17 ) -*99 (Wire +*97 (Wire uid 3266,0 shape (OrthoPolyLine uid 3267,0 @@ -3396,7 +3332,7 @@ pts [ ] ) start &19 -end &87 +end &85 sat 32 eat 32 stc 0 @@ -3420,7 +3356,7 @@ tm "WireNameMgr" ) on &20 ) -*100 (Wire +*98 (Wire uid 3281,0 shape (OrthoPolyLine uid 3282,0 @@ -3434,7 +3370,7 @@ pts [ ] ) start &21 -end &88 +end &86 sat 32 eat 32 stc 0 @@ -3458,7 +3394,7 @@ tm "WireNameMgr" ) on &22 ) -*101 (Wire +*99 (Wire uid 4262,0 shape (OrthoPolyLine uid 4263,0 @@ -3471,7 +3407,7 @@ pts [ "140000,68000" ] ) -start &74 +start &72 end &25 sat 32 eat 32 @@ -3496,7 +3432,7 @@ tm "WireNameMgr" ) on &26 ) -*102 (Wire +*100 (Wire uid 4277,0 shape (OrthoPolyLine uid 4278,0 @@ -3509,7 +3445,7 @@ pts [ "140000,66000" ] ) -start &75 +start &73 end &27 sat 32 eat 32 @@ -3534,7 +3470,7 @@ tm "WireNameMgr" ) on &28 ) -*103 (Wire +*101 (Wire uid 4535,0 shape (OrthoPolyLine uid 4536,0 @@ -3572,7 +3508,7 @@ tm "WireNameMgr" ) on &30 ) -*104 (Wire +*102 (Wire uid 4550,0 shape (OrthoPolyLine uid 4551,0 @@ -3610,7 +3546,7 @@ tm "WireNameMgr" ) on &32 ) -*105 (Wire +*103 (Wire uid 4565,0 shape (OrthoPolyLine uid 4566,0 @@ -3648,7 +3584,7 @@ tm "WireNameMgr" ) on &34 ) -*106 (Wire +*104 (Wire uid 4580,0 shape (OrthoPolyLine uid 4581,0 @@ -3686,7 +3622,7 @@ tm "WireNameMgr" ) on &36 ) -*107 (Wire +*105 (Wire uid 4595,0 shape (OrthoPolyLine uid 4596,0 @@ -3724,7 +3660,7 @@ tm "WireNameMgr" ) on &38 ) -*108 (Wire +*106 (Wire uid 4978,0 shape (OrthoPolyLine uid 4979,0 @@ -3753,15 +3689,15 @@ uid 4985,0 va (VaSet font "Verdana,12,0" ) -xt "44000,18600,47500,19900" +xt "44000,18600,48100,20000" st "reset" -blo "44000,19600" +blo "44000,19800" tm "WireNameMgr" ) ) on &1 ) -*109 (Wire +*107 (Wire uid 4986,0 shape (OrthoPolyLine uid 4987,0 @@ -3790,15 +3726,15 @@ uid 4993,0 va (VaSet font "Verdana,12,0" ) -xt "44000,16600,47500,17900" +xt "44000,16600,47800,18000" st "clock" -blo "44000,17600" +blo "44000,17800" tm "WireNameMgr" ) ) on &2 ) -*110 (Wire +*108 (Wire uid 6102,0 shape (OrthoPolyLine uid 6103,0 @@ -3811,7 +3747,7 @@ pts [ "140000,60000" ] ) -start &71 +start &69 end &23 es 0 sat 32 @@ -3837,7 +3773,7 @@ tm "WireNameMgr" ) on &24 ) -*111 (Wire +*109 (Wire uid 7310,0 shape (OrthoPolyLine uid 7311,0 @@ -3875,7 +3811,7 @@ tm "WireNameMgr" ) on &40 ) -*112 (Wire +*110 (Wire uid 9951,0 shape (OrthoPolyLine uid 9952,0 @@ -3912,7 +3848,7 @@ tm "WireNameMgr" ) on &43 ) -*113 (Wire +*111 (Wire uid 9959,0 shape (OrthoPolyLine uid 9960,0 @@ -3949,7 +3885,7 @@ tm "WireNameMgr" ) on &44 ) -*114 (Wire +*112 (Wire uid 9967,0 shape (OrthoPolyLine uid 9968,0 @@ -3986,7 +3922,7 @@ tm "WireNameMgr" ) on &45 ) -*115 (Wire +*113 (Wire uid 9975,0 shape (OrthoPolyLine uid 9976,0 @@ -4023,7 +3959,7 @@ tm "WireNameMgr" ) on &46 ) -*116 (Wire +*114 (Wire uid 9983,0 shape (OrthoPolyLine uid 9984,0 @@ -4060,7 +3996,7 @@ tm "WireNameMgr" ) on &47 ) -*117 (Wire +*115 (Wire uid 11487,0 shape (OrthoPolyLine uid 11488,0 @@ -4097,7 +4033,7 @@ tm "WireNameMgr" ) on &2 ) -*118 (Wire +*116 (Wire uid 11497,0 shape (OrthoPolyLine uid 11498,0 @@ -4135,7 +4071,7 @@ tm "WireNameMgr" ) on &1 ) -*119 (Wire +*117 (Wire uid 11519,0 shape (OrthoPolyLine uid 11520,0 @@ -4150,7 +4086,7 @@ pts [ ] ) start &53 -end &83 +end &81 sat 2 eat 32 sty 1 @@ -4175,7 +4111,7 @@ tm "WireNameMgr" ) on &62 ) -*120 (Wire +*118 (Wire uid 11529,0 shape (OrthoPolyLine uid 11530,0 @@ -4188,7 +4124,7 @@ pts [ "69000,17000" ] ) -start &85 +start &83 end &53 sat 32 eat 1 @@ -4213,7 +4149,7 @@ tm "WireNameMgr" ) on &61 ) -*121 (Wire +*119 (Wire uid 11541,0 shape (OrthoPolyLine uid 11542,0 @@ -4250,7 +4186,7 @@ tm "WireNameMgr" ) on &2 ) -*122 (Wire +*120 (Wire uid 11549,0 shape (OrthoPolyLine uid 11550,0 @@ -4288,7 +4224,7 @@ tm "WireNameMgr" ) on &1 ) -*123 (Wire +*121 (Wire uid 11559,0 shape (OrthoPolyLine uid 11560,0 @@ -4303,7 +4239,7 @@ pts [ ] ) start &57 -end &81 +end &79 sat 2 eat 32 sty 1 @@ -4328,7 +4264,7 @@ tm "WireNameMgr" ) on &63 ) -*124 (Wire +*122 (Wire uid 11571,0 shape (OrthoPolyLine uid 11572,0 @@ -4341,7 +4277,7 @@ pts [ "64000,48000" ] ) -start &92 +start &90 end &57 sat 32 eat 1 @@ -4366,32 +4302,21 @@ tm "WireNameMgr" ) on &64 ) -*125 (Wire +*123 (Wire uid 11589,0 shape (OrthoPolyLine uid 11590,0 va (VaSet vasetType 3 ) -xt "62000,62000,70000,62000" +xt "62000,62000,79250,62000" pts [ -"70000,62000" +"79250,62000" "67000,62000" "62000,62000" ] ) -start *126 (BdJunction -uid 12689,0 -ps "OnConnectorStrategy" -shape (Circle -uid 12690,0 -va (VaSet -vasetType 1 -) -xt "69600,61600,70400,62400" -radius 400 -) -) +start &84 sat 32 eat 16 stc 0 @@ -4407,39 +4332,29 @@ uid 11596,0 va (VaSet font "Verdana,12,0" ) -xt "64000,60600,68100,62000" +xt "73000,60600,77100,62000" st "reset" -blo "64000,61800" +blo "73000,61800" tm "WireNameMgr" ) ) on &1 ) -*127 (Wire +*124 (Wire uid 11597,0 shape (OrthoPolyLine uid 11598,0 va (VaSet vasetType 3 ) -xt "62000,61000,70000,61000" +xt "62000,61000,79250,61000" pts [ -"70000,61000" +"79250,61000" "62000,61000" ] ) -start *128 (BdJunction -uid 12197,0 -ps "OnConnectorStrategy" -shape (Circle -uid 12198,0 -va (VaSet -vasetType 1 -) -xt "69600,60600,70400,61400" -radius 400 -) -) +start &80 +ss 0 sat 32 eat 16 stc 0 @@ -4463,7 +4378,7 @@ tm "WireNameMgr" ) on &2 ) -*129 (Wire +*125 (Wire uid 11631,0 shape (OrthoPolyLine uid 11632,0 @@ -4477,8 +4392,8 @@ pts [ "119250,62000" ] ) -start &84 -end &72 +start &82 +end &70 sat 32 eat 32 sty 1 @@ -4503,7 +4418,7 @@ tm "WireNameMgr" ) on &66 ) -*130 (Wire +*126 (Wire uid 11641,0 shape (OrthoPolyLine uid 11642,0 @@ -4516,8 +4431,8 @@ pts [ "119250,66000" ] ) -start &89 -end &76 +start &87 +end &74 sat 32 eat 32 stc 0 @@ -4541,7 +4456,7 @@ tm "WireNameMgr" ) on &65 ) -*131 (Wire +*127 (Wire uid 11657,0 shape (OrthoPolyLine uid 11658,0 @@ -4555,7 +4470,7 @@ pts [ "112000,71000" ] ) -start &73 +start &71 sat 32 eat 16 stc 0 @@ -4579,7 +4494,7 @@ tm "WireNameMgr" ) on &1 ) -*132 (Wire +*128 (Wire uid 11665,0 shape (OrthoPolyLine uid 11666,0 @@ -4592,7 +4507,7 @@ pts [ "112000,70000" ] ) -start &70 +start &68 sat 32 eat 16 stc 0 @@ -4616,87 +4531,7 @@ tm "WireNameMgr" ) on &2 ) -*133 (Wire -uid 11915,0 -optionalChildren [ -&128 -] -shape (OrthoPolyLine -uid 11916,0 -va (VaSet -vasetType 3 -) -xt "70000,61000,79250,61000" -pts [ -"70000,61000" -"79250,61000" -] -) -end &82 -sat 16 -eat 32 -stc 0 -st 0 -sf 1 -si 0 -tg (WTG -uid 11921,0 -ps "ConnStartEndStrategy" -stg "STSignalDisplayStrategy" -f (Text -uid 11922,0 -va (VaSet -font "Verdana,12,0" -) -xt "72000,59600,74400,61000" -st "clk" -blo "72000,60800" -tm "WireNameMgr" -) -) -on &67 -) -*134 (Wire -uid 11925,0 -optionalChildren [ -&126 -] -shape (OrthoPolyLine -uid 11926,0 -va (VaSet -vasetType 3 -) -xt "70000,62000,79250,62000" -pts [ -"70000,62000" -"79250,62000" -] -) -end &86 -sat 16 -eat 32 -stc 0 -st 0 -sf 1 -si 0 -tg (WTG -uid 11931,0 -ps "ConnStartEndStrategy" -stg "STSignalDisplayStrategy" -f (Text -uid 11932,0 -va (VaSet -font "Verdana,12,0" -) -xt "72000,60600,74500,62000" -st "rst" -blo "72000,61800" -tm "WireNameMgr" -) -) -on &68 -) -*135 (Wire +*129 (Wire uid 12780,0 shape (OrthoPolyLine uid 12781,0 @@ -4709,7 +4544,7 @@ pts [ "126000,10000" ] ) -start &91 +start &89 end &18 sat 32 eat 32 @@ -4731,7 +4566,7 @@ blo "112750,9800" tm "WireNameMgr" ) ) -on &96 +on &94 ) ] bg "65535,65535,65535" @@ -4745,11 +4580,11 @@ xShown 1 yShown 1 color "65535,0,0" ) -packageList *136 (PackageList +packageList *130 (PackageList uid 42,0 stg "VerticalLayoutStrategy" textVec [ -*137 (Text +*131 (Text uid 573,0 va (VaSet font "Verdana,8,1" @@ -4758,7 +4593,7 @@ xt "24000,-12000,30500,-11100" st "Package List" blo "24000,-11300" ) -*138 (MLText +*132 (MLText uid 574,0 va (VaSet ) @@ -4774,7 +4609,7 @@ compDirBlock (MlTextGroup uid 45,0 stg "VerticalLayoutStrategy" textVec [ -*139 (Text +*133 (Text uid 46,0 va (VaSet isHidden 1 @@ -4784,7 +4619,7 @@ xt "20000,0,32000,1000" st "Compiler Directives" blo "20000,800" ) -*140 (Text +*134 (Text uid 47,0 va (VaSet isHidden 1 @@ -4794,7 +4629,7 @@ xt "20000,1400,33800,2400" st "Pre-module directives:" blo "20000,2200" ) -*141 (MLText +*135 (MLText uid 48,0 va (VaSet isHidden 1 @@ -4804,7 +4639,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*142 (Text +*136 (Text uid 49,0 va (VaSet isHidden 1 @@ -4814,7 +4649,7 @@ xt "20000,5600,34400,6600" st "Post-module directives:" blo "20000,6400" ) -*143 (MLText +*137 (MLText uid 50,0 va (VaSet isHidden 1 @@ -4822,7 +4657,7 @@ isHidden 1 xt "20000,7000,20000,7000" tm "BdCompilerDirectivesTextMgr" ) -*144 (Text +*138 (Text uid 51,0 va (VaSet isHidden 1 @@ -4832,7 +4667,7 @@ xt "20000,7200,33800,8200" st "End-module directives:" blo "20000,8000" ) -*145 (MLText +*139 (MLText uid 52,0 va (VaSet isHidden 1 @@ -4843,8 +4678,8 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,0,1537,960" -viewArea "39300,1604,141402,66500" +windowSize "0,24,1715,1143" +viewArea "39275,2350,150800,76675" cachedDiagramExtent "-17000,-23800,171000,152000" pageSetupInfo (PageSetupInfo ptrCmd "\\\\ipp://ippsion.hevs.ch\\PREA309_HPLJ3005DN,winspool," @@ -4871,7 +4706,7 @@ boundaryWidth 0 ) hasePageBreakOrigin 1 pageBreakOrigin "24000,-12000" -lastUid 12785,0 +lastUid 13287,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -4959,7 +4794,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*146 (Text +*140 (Text va (VaSet ) xt "2100,3000,6700,4200" @@ -4967,7 +4802,7 @@ st "" blo "2100,4000" tm "BdLibraryNameMgr" ) -*147 (Text +*141 (Text va (VaSet ) xt "2100,4200,6200,5400" @@ -4975,7 +4810,7 @@ st "" blo "2100,5200" tm "BlkNameMgr" ) -*148 (Text +*142 (Text va (VaSet ) xt "2100,5400,3300,6600" @@ -5014,21 +4849,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*149 (Text +*143 (Text va (VaSet ) xt "-100,3000,2200,4000" st "Library" blo "-100,3800" ) -*150 (Text +*144 (Text va (VaSet ) xt "-100,4000,5900,5000" st "MWComponent" blo "-100,4800" ) -*151 (Text +*145 (Text va (VaSet ) xt "-100,5000,500,6000" @@ -5072,7 +4907,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*152 (Text +*146 (Text va (VaSet ) xt "900,3000,3200,4000" @@ -5080,7 +4915,7 @@ st "Library" blo "900,3800" tm "BdLibraryNameMgr" ) -*153 (Text +*147 (Text va (VaSet ) xt "900,4000,6400,5000" @@ -5088,7 +4923,7 @@ st "SaComponent" blo "900,4800" tm "CptNameMgr" ) -*154 (Text +*148 (Text va (VaSet ) xt "900,5000,1500,6000" @@ -5126,21 +4961,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*155 (Text +*149 (Text va (VaSet ) xt "400,3000,2700,4000" st "Library" blo "400,3800" ) -*156 (Text +*150 (Text va (VaSet ) xt "400,4000,6500,5000" st "VhdlComponent" blo "400,4800" ) -*157 (Text +*151 (Text va (VaSet ) xt "400,5000,1000,6000" @@ -5180,21 +5015,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*158 (Text +*152 (Text va (VaSet ) xt "-100,3000,2200,4000" st "Library" blo "-100,3800" ) -*159 (Text +*153 (Text va (VaSet ) xt "-100,4000,7000,5000" st "VerilogComponent" blo "-100,4800" ) -*160 (Text +*154 (Text va (VaSet ) xt "-100,5000,500,6000" @@ -5232,7 +5067,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*161 (Text +*155 (Text va (VaSet ) xt "3300,3700,4500,4700" @@ -5240,7 +5075,7 @@ st "eb1" blo "3300,4500" tm "HdlTextNameMgr" ) -*162 (Text +*156 (Text va (VaSet ) xt "3300,4700,3700,5700" @@ -5670,7 +5505,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*163 (Text +*157 (Text va (VaSet font "Verdana,8,1" ) @@ -5678,7 +5513,7 @@ xt "13200,20000,21100,21000" st "Frame Declarations" blo "13200,20800" ) -*164 (MLText +*158 (MLText va (VaSet ) xt "13200,21000,13200,21000" @@ -5730,7 +5565,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*165 (Text +*159 (Text va (VaSet font "Verdana,8,1" ) @@ -5738,7 +5573,7 @@ xt "13200,20000,21100,21000" st "Frame Declarations" blo "13200,20800" ) -*166 (MLText +*160 (MLText va (VaSet ) xt "13200,21000,13200,21000" @@ -5886,66 +5721,66 @@ commonDM (CommonDM ldm (LogicalDM suid 91,0 usingSuid 1 -emptyRow *167 (LEmptyRow +emptyRow *161 (LEmptyRow ) uid 5714,0 optionalChildren [ -*168 (RefLabelRowHdr +*162 (RefLabelRowHdr ) -*169 (TitleRowHdr +*163 (TitleRowHdr ) -*170 (FilterRowHdr +*164 (FilterRowHdr ) -*171 (RefLabelColHdr +*165 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*172 (RowExpandColHdr +*166 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*173 (GroupColHdr +*167 (GroupColHdr tm "GroupColHdrMgr" ) -*174 (NameColHdr +*168 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*175 (ModeColHdr +*169 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*176 (TypeColHdr +*170 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*177 (BoundsColHdr +*171 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*178 (InitColHdr +*172 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*179 (EolColHdr +*173 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*180 (LeafLogPort +*174 (LeafLogPort port (LogicalPort decl (Decl n "reset" -t "std_ulogic" +t "std_uLogic" o 8 suid 1,0 ) ) uid 5659,0 ) -*181 (LeafLogPort +*175 (LeafLogPort port (LogicalPort decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 2 suid 2,0 ) ) uid 5661,0 ) -*182 (LeafLogPort +*176 (LeafLogPort port (LogicalPort decl (Decl n "restart" @@ -5956,7 +5791,7 @@ suid 3,0 ) uid 5663,0 ) -*183 (LeafLogPort +*177 (LeafLogPort port (LogicalPort decl (Decl n "testMode" @@ -5967,7 +5802,7 @@ suid 4,0 ) uid 5665,0 ) -*184 (LeafLogPort +*178 (LeafLogPort port (LogicalPort decl (Decl n "sensor1" @@ -5978,7 +5813,7 @@ suid 6,0 ) uid 5669,0 ) -*185 (LeafLogPort +*179 (LeafLogPort port (LogicalPort decl (Decl n "sensor2" @@ -5989,7 +5824,7 @@ suid 7,0 ) uid 5671,0 ) -*186 (LeafLogPort +*180 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -6001,7 +5836,7 @@ suid 10,0 ) uid 5677,0 ) -*187 (LeafLogPort +*181 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -6013,7 +5848,7 @@ suid 12,0 ) uid 5681,0 ) -*188 (LeafLogPort +*182 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -6025,7 +5860,7 @@ suid 13,0 ) uid 5683,0 ) -*189 (LeafLogPort +*183 (LeafLogPort port (LogicalPort decl (Decl n "encoderA" @@ -6036,7 +5871,7 @@ suid 14,0 ) uid 5685,0 ) -*190 (LeafLogPort +*184 (LeafLogPort port (LogicalPort decl (Decl n "encoderB" @@ -6047,7 +5882,7 @@ suid 15,0 ) uid 5687,0 ) -*191 (LeafLogPort +*185 (LeafLogPort port (LogicalPort decl (Decl n "encoderI" @@ -6058,7 +5893,7 @@ suid 16,0 ) uid 5689,0 ) -*192 (LeafLogPort +*186 (LeafLogPort port (LogicalPort decl (Decl n "go1" @@ -6069,7 +5904,7 @@ suid 17,0 ) uid 5691,0 ) -*193 (LeafLogPort +*187 (LeafLogPort port (LogicalPort decl (Decl n "go2" @@ -6080,7 +5915,7 @@ suid 18,0 ) uid 5693,0 ) -*194 (LeafLogPort +*188 (LeafLogPort port (LogicalPort decl (Decl n "button4" @@ -6091,7 +5926,7 @@ suid 47,0 ) uid 7302,0 ) -*195 (LeafLogPort +*189 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -6103,7 +5938,7 @@ suid 68,0 ) uid 10024,0 ) -*196 (LeafLogPort +*190 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -6115,7 +5950,7 @@ suid 69,0 ) uid 10026,0 ) -*197 (LeafLogPort +*191 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -6127,7 +5962,7 @@ suid 70,0 ) uid 10028,0 ) -*198 (LeafLogPort +*192 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -6139,7 +5974,7 @@ suid 71,0 ) uid 10030,0 ) -*199 (LeafLogPort +*193 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -6151,7 +5986,7 @@ suid 72,0 ) uid 10032,0 ) -*200 (LeafLogPort +*194 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6164,7 +5999,7 @@ suid 78,0 ) uid 11581,0 ) -*201 (LeafLogPort +*195 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6178,7 +6013,7 @@ suid 79,0 ) uid 11583,0 ) -*202 (LeafLogPort +*196 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6192,7 +6027,7 @@ suid 81,0 ) uid 11585,0 ) -*203 (LeafLogPort +*197 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6205,7 +6040,7 @@ suid 83,0 ) uid 11587,0 ) -*204 (LeafLogPort +*198 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -6218,13 +6053,13 @@ suid 86,0 ) uid 11653,0 ) -*205 (LeafLogPort +*199 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl n "Power" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 26 suid 87,0 @@ -6232,39 +6067,13 @@ suid 87,0 ) uid 11655,0 ) -*206 (LeafLogPort -port (LogicalPort -lang 11 -m 4 -decl (Decl -n "clk" -t "std_ulogic" -o 28 -suid 88,0 -) -) -uid 11935,0 -) -*207 (LeafLogPort -port (LogicalPort -lang 11 -m 4 -decl (Decl -n "rst" -t "std_ulogic" -o 29 -suid 89,0 -) -) -uid 11937,0 -) -*208 (LeafLogPort +*200 (LeafLogPort port (LogicalPort m 1 decl (Decl n "testOut" t "std_uLogic_vector" -b "(1 TO testLineNb)" +b "(1 DOWNTO 0)" o 29 suid 91,0 ) @@ -6278,7 +6087,7 @@ displayShortBounds 1 editShortBounds 1 uid 5727,0 optionalChildren [ -*209 (Sheet +*201 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -6295,204 +6104,192 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *210 (MRCItem -litem &167 -pos 29 +emptyMRCItem *202 (MRCItem +litem &161 +pos 27 dimension 20 ) uid 5729,0 optionalChildren [ -*211 (MRCItem -litem &168 +*203 (MRCItem +litem &162 pos 0 dimension 20 uid 5730,0 ) -*212 (MRCItem -litem &169 +*204 (MRCItem +litem &163 pos 1 dimension 23 uid 5731,0 ) -*213 (MRCItem -litem &170 +*205 (MRCItem +litem &164 pos 2 hidden 1 dimension 20 uid 5732,0 ) -*214 (MRCItem -litem &180 +*206 (MRCItem +litem &174 pos 0 dimension 20 uid 5660,0 ) -*215 (MRCItem -litem &181 +*207 (MRCItem +litem &175 pos 1 dimension 20 uid 5662,0 ) -*216 (MRCItem -litem &182 +*208 (MRCItem +litem &176 pos 2 dimension 20 uid 5664,0 ) -*217 (MRCItem -litem &183 +*209 (MRCItem +litem &177 pos 3 dimension 20 uid 5666,0 ) -*218 (MRCItem -litem &184 +*210 (MRCItem +litem &178 pos 4 dimension 20 uid 5670,0 ) -*219 (MRCItem -litem &185 +*211 (MRCItem +litem &179 pos 5 dimension 20 uid 5672,0 ) -*220 (MRCItem -litem &186 +*212 (MRCItem +litem &180 pos 6 dimension 20 uid 5678,0 ) -*221 (MRCItem -litem &187 +*213 (MRCItem +litem &181 pos 7 dimension 20 uid 5682,0 ) -*222 (MRCItem -litem &188 +*214 (MRCItem +litem &182 pos 8 dimension 20 uid 5684,0 ) -*223 (MRCItem -litem &189 +*215 (MRCItem +litem &183 pos 9 dimension 20 uid 5686,0 ) -*224 (MRCItem -litem &190 +*216 (MRCItem +litem &184 pos 10 dimension 20 uid 5688,0 ) -*225 (MRCItem -litem &191 +*217 (MRCItem +litem &185 pos 11 dimension 20 uid 5690,0 ) -*226 (MRCItem -litem &192 +*218 (MRCItem +litem &186 pos 12 dimension 20 uid 5692,0 ) -*227 (MRCItem -litem &193 +*219 (MRCItem +litem &187 pos 13 dimension 20 uid 5694,0 ) -*228 (MRCItem -litem &194 +*220 (MRCItem +litem &188 pos 14 dimension 20 uid 7301,0 ) -*229 (MRCItem -litem &195 +*221 (MRCItem +litem &189 pos 15 dimension 20 uid 10025,0 ) -*230 (MRCItem -litem &196 +*222 (MRCItem +litem &190 pos 16 dimension 20 uid 10027,0 ) -*231 (MRCItem -litem &197 +*223 (MRCItem +litem &191 pos 17 dimension 20 uid 10029,0 ) -*232 (MRCItem -litem &198 +*224 (MRCItem +litem &192 pos 18 dimension 20 uid 10031,0 ) -*233 (MRCItem -litem &199 +*225 (MRCItem +litem &193 pos 19 dimension 20 uid 10033,0 ) -*234 (MRCItem -litem &200 +*226 (MRCItem +litem &194 pos 20 dimension 20 uid 11582,0 ) -*235 (MRCItem -litem &201 +*227 (MRCItem +litem &195 pos 21 dimension 20 uid 11584,0 ) -*236 (MRCItem -litem &202 +*228 (MRCItem +litem &196 pos 22 dimension 20 uid 11586,0 ) -*237 (MRCItem -litem &203 +*229 (MRCItem +litem &197 pos 23 dimension 20 uid 11588,0 ) -*238 (MRCItem -litem &204 +*230 (MRCItem +litem &198 pos 24 dimension 20 uid 11654,0 ) -*239 (MRCItem -litem &205 +*231 (MRCItem +litem &199 pos 25 dimension 20 uid 11656,0 ) -*240 (MRCItem -litem &206 +*232 (MRCItem +litem &200 pos 26 dimension 20 -uid 11936,0 -) -*241 (MRCItem -litem &207 -pos 27 -dimension 20 -uid 11938,0 -) -*242 (MRCItem -litem &208 -pos 28 -dimension 20 uid 12785,0 ) ] @@ -6506,50 +6303,50 @@ textAngle 90 ) uid 5733,0 optionalChildren [ -*243 (MRCItem -litem &171 +*233 (MRCItem +litem &165 pos 0 dimension 20 uid 5734,0 ) -*244 (MRCItem -litem &173 +*234 (MRCItem +litem &167 pos 1 dimension 50 uid 5735,0 ) -*245 (MRCItem -litem &174 +*235 (MRCItem +litem &168 pos 2 dimension 100 uid 5736,0 ) -*246 (MRCItem -litem &175 +*236 (MRCItem +litem &169 pos 3 dimension 50 uid 5737,0 ) -*247 (MRCItem -litem &176 +*237 (MRCItem +litem &170 pos 4 dimension 100 uid 5738,0 ) -*248 (MRCItem -litem &177 +*238 (MRCItem +litem &171 pos 5 dimension 100 uid 5739,0 ) -*249 (MRCItem -litem &178 +*239 (MRCItem +litem &172 pos 6 dimension 50 uid 5740,0 ) -*250 (MRCItem -litem &179 +*240 (MRCItem +litem &173 pos 7 dimension 80 uid 5741,0 @@ -6569,41 +6366,41 @@ uid 5713,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *251 (LEmptyRow +emptyRow *241 (LEmptyRow ) uid 5743,0 optionalChildren [ -*252 (RefLabelRowHdr +*242 (RefLabelRowHdr ) -*253 (TitleRowHdr +*243 (TitleRowHdr ) -*254 (FilterRowHdr +*244 (FilterRowHdr ) -*255 (RefLabelColHdr +*245 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*256 (RowExpandColHdr +*246 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*257 (GroupColHdr +*247 (GroupColHdr tm "GroupColHdrMgr" ) -*258 (NameColHdr +*248 (NameColHdr tm "GenericNameColHdrMgr" ) -*259 (TypeColHdr +*249 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*260 (InitColHdr +*250 (InitColHdr tm "GenericValueColHdrMgr" ) -*261 (PragmaColHdr +*251 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*262 (EolColHdr +*252 (EolColHdr tm "GenericEolColHdrMgr" ) -*263 (LogGeneric +*253 (LogGeneric generic (GiElement name "position1" type "positive" @@ -6611,7 +6408,7 @@ value "32000" ) uid 7215,0 ) -*264 (LogGeneric +*254 (LogGeneric generic (GiElement name "position2" type "positive" @@ -6619,7 +6416,7 @@ value "64000" ) uid 7217,0 ) -*265 (LogGeneric +*255 (LogGeneric generic (GiElement name "testLineNb" type "positive" @@ -6627,7 +6424,7 @@ value "16" ) uid 7816,0 ) -*266 (LogGeneric +*256 (LogGeneric generic (GiElement name "position0" type "positive" @@ -6635,7 +6432,7 @@ value "128" ) uid 8607,0 ) -*267 (LogGeneric +*257 (LogGeneric generic (GiElement name "slopeShiftBitNb" type "positive" @@ -6643,7 +6440,7 @@ value "6" ) uid 10209,0 ) -*268 (LogGeneric +*258 (LogGeneric generic (GiElement name "pwmBitNb" type "positive" @@ -6656,7 +6453,7 @@ uid 10538,0 pdm (PhysicalDM uid 5755,0 optionalChildren [ -*269 (Sheet +*259 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -6673,64 +6470,64 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *270 (MRCItem -litem &251 +emptyMRCItem *260 (MRCItem +litem &241 pos 6 dimension 20 ) uid 5757,0 optionalChildren [ -*271 (MRCItem -litem &252 +*261 (MRCItem +litem &242 pos 0 dimension 20 uid 5758,0 ) -*272 (MRCItem -litem &253 +*262 (MRCItem +litem &243 pos 1 dimension 23 uid 5759,0 ) -*273 (MRCItem -litem &254 +*263 (MRCItem +litem &244 pos 2 hidden 1 dimension 20 uid 5760,0 ) -*274 (MRCItem -litem &263 +*264 (MRCItem +litem &253 pos 1 dimension 20 uid 7214,0 ) -*275 (MRCItem -litem &264 +*265 (MRCItem +litem &254 pos 2 dimension 20 uid 7216,0 ) -*276 (MRCItem -litem &265 +*266 (MRCItem +litem &255 pos 5 dimension 20 uid 7815,0 ) -*277 (MRCItem -litem &266 +*267 (MRCItem +litem &256 pos 0 dimension 20 uid 8606,0 ) -*278 (MRCItem -litem &267 +*268 (MRCItem +litem &257 pos 3 dimension 20 uid 10208,0 ) -*279 (MRCItem -litem &268 +*269 (MRCItem +litem &258 pos 4 dimension 20 uid 10537,0 @@ -6746,44 +6543,44 @@ textAngle 90 ) uid 5761,0 optionalChildren [ -*280 (MRCItem -litem &255 +*270 (MRCItem +litem &245 pos 0 dimension 20 uid 5762,0 ) -*281 (MRCItem -litem &257 +*271 (MRCItem +litem &247 pos 1 dimension 50 uid 5763,0 ) -*282 (MRCItem -litem &258 +*272 (MRCItem +litem &248 pos 2 dimension 100 uid 5764,0 ) -*283 (MRCItem -litem &259 +*273 (MRCItem +litem &249 pos 3 dimension 100 uid 5765,0 ) -*284 (MRCItem -litem &260 +*274 (MRCItem +litem &250 pos 4 dimension 50 uid 5766,0 ) -*285 (MRCItem -litem &261 +*275 (MRCItem +litem &251 pos 5 dimension 50 uid 5767,0 ) -*286 (MRCItem -litem &262 +*276 (MRCItem +litem &252 pos 6 dimension 80 uid 5768,0 diff --git a/Cursor/hds/cursor@circuit/symbol.sb b/Cursor/hds/cursor@circuit/symbol.sb index fd6cd7a..24ac8f4 100644 --- a/Cursor/hds/cursor@circuit/symbol.sb +++ b/Cursor/hds/cursor@circuit/symbol.sb @@ -87,7 +87,7 @@ uid 329,0 port (LogicalPort decl (Decl n "reset" -t "std_ulogic" +t "std_uLogic" o 8 suid 2,0 ) @@ -98,7 +98,7 @@ uid 330,0 port (LogicalPort decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 2 suid 1,0 ) @@ -294,7 +294,7 @@ m 1 decl (Decl n "testOut" t "std_uLogic_vector" -b "(1 TO testLineNb)" +b "(1 DOWNTO 0)" o 21 suid 2022,0 ) @@ -792,23 +792,23 @@ value " " ) (vvPair variable "HDLDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -828,27 +828,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit" ) (vvPair variable "d_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit" ) (vvPair variable "date" -value "20.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "lun." +value "mar." ) (vvPair variable "day_long" -value "lundi" +value "mardi" ) (vvPair variable "dd" -value "20" +value "21" ) (vvPair variable "designName" @@ -876,11 +876,11 @@ value "symbol" ) (vvPair variable "graphical_source_author" -value "remi" +value "Simon" ) (vvPair variable "graphical_source_date" -value "20.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -888,11 +888,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "10:59:57" +value "14:09:20" ) (vvPair variable "group" @@ -900,7 +900,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "MARVIN" +value "PC-SDM" ) (vvPair variable "language" @@ -948,11 +948,11 @@ value "d ) (vvPair variable "p" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb" ) (vvPair variable "p_logical" -value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit\\symbol.sb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit\\symbol.sb" ) (vvPair variable "package_name" @@ -1028,7 +1028,7 @@ value "symbol" ) (vvPair variable "time" -value "10:59:57" +value "14:09:20" ) (vvPair variable "unit" @@ -1036,7 +1036,7 @@ value "cursorCircuit" ) (vvPair variable "user" -value "remi" +value "Simon" ) (vvPair variable "version" @@ -1104,13 +1104,12 @@ va (VaSet font "Courier New,8,0" ) xt "2000,12700,19000,13500" -st "clock : IN std_ulogic ; -" +st "clock : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl n "clock" -t "std_ulogic" +t "std_uLogic" o 2 suid 1,0 ) @@ -1158,13 +1157,12 @@ va (VaSet font "Courier New,8,0" ) xt "2000,17500,19000,18300" -st "reset : IN std_ulogic ; -" +st "reset : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl n "reset" -t "std_ulogic" +t "std_uLogic" o 8 suid 2,0 ) @@ -1214,8 +1212,7 @@ va (VaSet font "Courier New,8,0" ) xt "2000,26300,19000,27100" -st "side1 : OUT std_uLogic ; -" +st "side1 : OUT std_uLogic ;" ) thePort (LogicalPort m 1 @@ -1269,8 +1266,7 @@ va (VaSet font "Courier New,8,0" ) xt "2000,18300,19000,19100" -st "restart : IN std_uLogic ; -" +st "restart : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl @@ -1323,8 +1319,7 @@ va (VaSet font "Courier New,8,0" ) xt "2000,16700,19000,17500" -st "go2 : IN std_uLogic ; -" +st "go2 : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl @@ -1379,8 +1374,7 @@ va (VaSet font "Courier New,8,0" ) xt "2000,19100,19000,19900" -st "sensor1 : IN std_uLogic ; -" +st "sensor1 : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl @@ -1433,8 +1427,7 @@ va (VaSet font "Courier New,8,0" ) xt "2000,20700,19000,21500" -st "testMode : IN std_uLogic ; -" +st "testMode : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl @@ -1487,8 +1480,7 @@ va (VaSet font "Courier New,8,0" ) xt "2000,15900,19000,16700" -st "go1 : IN std_uLogic ; -" +st "go1 : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl @@ -1543,8 +1535,7 @@ va (VaSet font "Courier New,8,0" ) xt "2000,27100,19000,27900" -st "side2 : OUT std_uLogic ; -" +st "side2 : OUT std_uLogic ;" ) thePort (LogicalPort m 1 @@ -1600,8 +1591,7 @@ va (VaSet font "Courier New,8,0" ) xt "2000,19900,19000,20700" -st "sensor2 : IN std_uLogic ; -" +st "sensor2 : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl @@ -1656,8 +1646,7 @@ va (VaSet font "Courier New,8,0" ) xt "2000,25500,19000,26300" -st "motorOn : OUT std_uLogic ; -" +st "motorOn : OUT std_uLogic ;" ) thePort (LogicalPort m 1 @@ -1713,8 +1702,7 @@ va (VaSet font "Courier New,8,0" ) xt "2000,13500,19000,14300" -st "encoderA : IN std_uLogic ; -" +st "encoderA : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl @@ -1769,8 +1757,7 @@ va (VaSet font "Courier New,8,0" ) xt "2000,14300,19000,15100" -st "encoderB : IN std_uLogic ; -" +st "encoderB : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl @@ -1825,8 +1812,7 @@ va (VaSet font "Courier New,8,0" ) xt "2000,15100,19000,15900" -st "encoderI : IN std_uLogic ; -" +st "encoderI : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl @@ -1879,8 +1865,7 @@ va (VaSet font "Courier New,8,0" ) xt "2000,11900,19000,12700" -st "button4 : IN std_uLogic ; -" +st "button4 : IN std_uLogic ;" ) thePort (LogicalPort decl (Decl @@ -1933,8 +1918,7 @@ va (VaSet font "Courier New,8,0" ) xt "2000,22300,19000,23100" -st "CS1_n : OUT std_ulogic ; -" +st "CS1_n : OUT std_ulogic ;" ) thePort (LogicalPort m 1 @@ -1988,8 +1972,7 @@ va (VaSet font "Courier New,8,0" ) xt "2000,23900,19000,24700" -st "SCL : OUT std_ulogic ; -" +st "SCL : OUT std_ulogic ;" ) thePort (LogicalPort m 1 @@ -2043,8 +2026,7 @@ va (VaSet font "Courier New,8,0" ) xt "2000,24700,19000,25500" -st "SI : OUT std_ulogic ; -" +st "SI : OUT std_ulogic ;" ) thePort (LogicalPort m 1 @@ -2098,8 +2080,7 @@ va (VaSet font "Courier New,8,0" ) xt "2000,21500,19000,22300" -st "A0 : OUT std_ulogic ; -" +st "A0 : OUT std_ulogic ;" ) thePort (LogicalPort m 1 @@ -2153,8 +2134,7 @@ va (VaSet font "Courier New,8,0" ) xt "2000,23100,19000,23900" -st "RST_n : OUT std_ulogic ; -" +st "RST_n : OUT std_ulogic ;" ) thePort (LogicalPort m 1 @@ -2209,16 +2189,15 @@ uid 947,0 va (VaSet font "Courier New,8,0" ) -xt "2000,27900,30500,28700" -st "testOut : OUT std_uLogic_vector (1 TO testLineNb) -" +xt "2000,27900,28000,28700" +st "testOut : OUT std_uLogic_vector (1 DOWNTO 0)" ) thePort (LogicalPort m 1 decl (Decl n "testOut" t "std_uLogic_vector" -b "(1 TO testLineNb)" +b "(1 DOWNTO 0)" o 21 suid 2022,0 ) @@ -2964,7 +2943,7 @@ xt "0,9900,0,9900" tm "SyDeclarativeTextMgr" ) ) -lastUid 947,0 +lastUid 1246,0 okToSyncOnLoad 1 OkToSyncGenericsOnLoad 1 activeModelName "Symbol" diff --git a/Cursor/hds/enable_acceleration/fsm.sm b/Cursor/hds/enable_acceleration/fsm.sm index bd011e0..299fa7e 100644 --- a/Cursor/hds/enable_acceleration/fsm.sm +++ b/Cursor/hds/enable_acceleration/fsm.sm @@ -78,19 +78,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\e ) (vvPair variable "date" -value "10.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "10" +value "21" ) (vvPair variable "entity_name" @@ -118,7 +118,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "10.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -130,7 +130,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:36:57" +value "13:19:03" ) (vvPair variable "group" @@ -202,7 +202,7 @@ value "fsm" ) (vvPair variable "time" -value "09:36:57" +value "13:19:03" ) (vvPair variable "unit" @@ -1494,7 +1494,7 @@ lineColor "39936,56832,65280" lineWidth -1 fillStyle 1 ) -xt "45272,40645,59172,42045" +xt "44922,40645,59522,42045" ) autoResize 1 tline (Line @@ -1505,10 +1505,10 @@ isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) -xt "45372,40545,59072,40545" +xt "45022,40545,59422,40545" pts [ -"45372,40545" -"59072,40545" +"45022,40545" +"59422,40545" ] ) bline (Line @@ -1519,10 +1519,10 @@ isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) -xt "45372,39945,59072,39945" +xt "45022,39945,59422,39945" pts [ -"45372,39945" -"59072,39945" +"45022,39945" +"59422,39945" ] ) ttri (Triangle @@ -1536,7 +1536,7 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "44922,40170,45272,40520" +xt "44572,40170,44922,40520" ) btri (Triangle uid 235,0 @@ -1549,21 +1549,21 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "44922,37770,45272,38120" +xt "44572,37770,44922,38120" ) entryActions (MLText uid 236,0 va (VaSet ) -xt "45372,40345,45372,40345" +xt "45022,40345,45022,40345" tm "Actions" ) inActions (MLText uid 237,0 va (VaSet ) -xt "45372,40745,59072,41945" -st "end_acceleration = '1';" +xt "45022,40745,59422,41945" +st "end_acceleration <= '1';" tm "Actions" ) exitActions (MLText @@ -2559,7 +2559,7 @@ tm "SmCompilerDirectivesTextMgr" associable 1 ) windowSize "0,24,1715,1143" -viewArea "-1800,-7300,84446,50178" +viewArea "-3766,4041,108242,78688" cachedDiagramExtent "0,-1000,86600,47000" hasePageBreakOrigin 1 pageBreakOrigin "0,-2000" @@ -2934,7 +2934,7 @@ stateOrder [ name "csm" ) ] -lastUid 473,0 +lastUid 502,0 commonDM (CommonDM ldm (LogicalDM emptyRow *64 (LEmptyRow @@ -2997,7 +2997,7 @@ port (LogicalPort lang 11 decl (Decl n "Position" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 ) @@ -3032,7 +3032,7 @@ port (LogicalPort lang 11 decl (Decl n "skip_acceleration" -t "unsigned" +t "std_ulogic" o 6 ) ) @@ -3141,43 +3141,43 @@ uid 339,0 ) *96 (MRCItem litem &83 -pos 0 +pos 3 dimension 20 uid 157,0 ) *97 (MRCItem litem &84 -pos 1 +pos 2 dimension 20 uid 159,0 ) *98 (MRCItem litem &85 -pos 2 +pos 5 dimension 20 uid 161,0 ) *99 (MRCItem litem &86 -pos 3 +pos 6 dimension 20 uid 163,0 ) *100 (MRCItem litem &87 -pos 4 +pos 0 dimension 20 uid 165,0 ) *101 (MRCItem litem &88 -pos 5 +pos 4 dimension 20 uid 167,0 ) *102 (MRCItem litem &89 -pos 6 +pos 1 dimension 20 uid 411,0 ) diff --git a/Cursor/hds/enable_acceleration/interface b/Cursor/hds/enable_acceleration/interface index 2d34bfe..34d57ea 100644 --- a/Cursor/hds/enable_acceleration/interface +++ b/Cursor/hds/enable_acceleration/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 42,0 +suid 66,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -65,12 +65,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 2 -suid 35,0 +suid 59,0 ) ) -uid 570,0 +uid 830,0 ) *15 (LogPort port (LogicalPort @@ -80,48 +80,48 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 7 -suid 36,0 +suid 60,0 ) ) -uid 572,0 +uid 832,0 ) *16 (LogPort port (LogicalPort lang 11 decl (Decl n "info_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 3 -suid 37,0 +suid 61,0 ) ) -uid 574,0 +uid 834,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "Position" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 -suid 38,0 +suid 62,0 ) ) -uid 576,0 +uid 836,0 ) *18 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 39,0 +suid 63,0 ) ) -uid 578,0 +uid 838,0 ) *19 (LogPort port (LogicalPort @@ -130,22 +130,22 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 9 -suid 40,0 +suid 64,0 ) ) -uid 580,0 +uid 840,0 ) *20 (LogPort port (LogicalPort lang 11 decl (Decl n "skip_acceleration" -t "unsigned" +t "std_ulogic" o 5 -suid 41,0 +suid 65,0 ) ) -uid 582,0 +uid 842,0 ) *21 (LogPort port (LogicalPort @@ -154,10 +154,10 @@ decl (Decl n "unlock" t "std_ulogic" o 6 -suid 42,0 +suid 66,0 ) ) -uid 584,0 +uid 844,0 ) ] ) @@ -213,49 +213,49 @@ uid 99,0 litem &14 pos 0 dimension 20 -uid 571,0 +uid 831,0 ) *28 (MRCItem litem &15 pos 1 dimension 20 -uid 573,0 +uid 833,0 ) *29 (MRCItem litem &16 pos 2 dimension 20 -uid 575,0 +uid 835,0 ) *30 (MRCItem litem &17 pos 3 dimension 20 -uid 577,0 +uid 837,0 ) *31 (MRCItem litem &18 pos 4 dimension 20 -uid 579,0 +uid 839,0 ) *32 (MRCItem litem &19 pos 5 dimension 20 -uid 581,0 +uid 841,0 ) *33 (MRCItem litem &20 pos 6 dimension 20 -uid 583,0 +uid 843,0 ) *34 (MRCItem litem &21 pos 7 dimension 20 -uid 585,0 +uid 845,0 ) ] ) @@ -530,7 +530,7 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\e ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -542,7 +542,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -570,7 +570,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -582,7 +582,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:13:45" +value "14:06:44" ) (vvPair variable "group" @@ -654,7 +654,7 @@ value "interface" ) (vvPair variable "time" -value "09:13:45" +value "14:06:44" ) (vvPair variable "unit" @@ -689,10 +689,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *68 (CptPort -uid 530,0 +uid 790,0 ps "OnEdgeStrategy" shape (Triangle -uid 531,0 +uid 791,0 ro 90 va (VaSet vasetType 1 @@ -701,11 +701,11 @@ fg "0,65535,0" xt "14250,13625,15000,14375" ) tg (CPTG -uid 532,0 +uid 792,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 533,0 +uid 793,0 va (VaSet font "Verdana,12,0" ) @@ -716,29 +716,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 534,0 +uid 794,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,65000,4000" -st "clk : IN unsigned ; +xt "44000,3200,66500,4000" +st "clk : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 2 -suid 35,0 +suid 59,0 ) ) ) *69 (CptPort -uid 535,0 +uid 795,0 ps "OnEdgeStrategy" shape (Triangle -uid 536,0 +uid 796,0 ro 90 va (VaSet vasetType 1 @@ -747,11 +747,11 @@ fg "0,65535,0" xt "23000,14625,23750,15375" ) tg (CPTG -uid 537,0 +uid 797,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 538,0 +uid 798,0 va (VaSet font "Verdana,12,0" ) @@ -763,7 +763,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 539,0 +uid 799,0 va (VaSet font "Courier New,8,0" ) @@ -778,15 +778,15 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 7 -suid 36,0 +suid 60,0 ) ) ) *70 (CptPort -uid 540,0 +uid 800,0 ps "OnEdgeStrategy" shape (Triangle -uid 541,0 +uid 801,0 ro 90 va (VaSet vasetType 1 @@ -795,11 +795,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 542,0 +uid 802,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 543,0 +uid 803,0 va (VaSet font "Verdana,12,0" ) @@ -810,30 +810,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 544,0 +uid 804,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,72000,4800" -st "info_acceleration : IN unsigned (15 DOWNTO 0) ; +xt "44000,4000,76500,4800" +st "info_acceleration : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "info_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 3 -suid 37,0 +suid 61,0 ) ) ) *71 (CptPort -uid 545,0 +uid 805,0 ps "OnEdgeStrategy" shape (Triangle -uid 546,0 +uid 806,0 ro 90 va (VaSet vasetType 1 @@ -842,11 +842,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 547,0 +uid 807,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 548,0 +uid 808,0 va (VaSet font "Verdana,12,0" ) @@ -857,30 +857,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 549,0 +uid 809,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,72500,3200" -st "Position : IN std_logic (15 DOWNTO 0) ; +xt "44000,2400,76500,3200" +st "Position : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "Position" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 -suid 38,0 +suid 62,0 ) ) ) *72 (CptPort -uid 550,0 +uid 810,0 ps "OnEdgeStrategy" shape (Triangle -uid 551,0 +uid 811,0 ro 90 va (VaSet vasetType 1 @@ -889,11 +889,11 @@ fg "0,65535,0" xt "14250,14625,15000,15375" ) tg (CPTG -uid 552,0 +uid 812,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 553,0 +uid 813,0 va (VaSet font "Verdana,12,0" ) @@ -904,29 +904,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 554,0 +uid 814,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,65000,5600" -st "rst : IN unsigned ; +xt "44000,4800,66500,5600" +st "rst : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 39,0 +suid 63,0 ) ) ) *73 (CptPort -uid 555,0 +uid 815,0 ps "OnEdgeStrategy" shape (Triangle -uid 556,0 +uid 816,0 ro 90 va (VaSet vasetType 1 @@ -935,11 +935,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 557,0 +uid 817,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 558,0 +uid 818,0 va (VaSet font "Verdana,12,0" ) @@ -950,7 +950,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 559,0 +uid 819,0 va (VaSet font "Courier New,8,0" ) @@ -964,15 +964,15 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 9 -suid 40,0 +suid 64,0 ) ) ) *74 (CptPort -uid 560,0 +uid 820,0 ps "OnEdgeStrategy" shape (Triangle -uid 561,0 +uid 821,0 ro 90 va (VaSet vasetType 1 @@ -981,11 +981,11 @@ fg "0,65535,0" xt "14250,12625,15000,13375" ) tg (CPTG -uid 562,0 +uid 822,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 563,0 +uid 823,0 va (VaSet font "Verdana,12,0" ) @@ -996,29 +996,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 564,0 +uid 824,0 va (VaSet font "Courier New,8,0" ) -xt "44000,6400,65000,7200" -st "skip_acceleration : IN unsigned ; +xt "44000,6400,66500,7200" +st "skip_acceleration : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "skip_acceleration" -t "unsigned" +t "std_ulogic" o 5 -suid 41,0 +suid 65,0 ) ) ) *75 (CptPort -uid 565,0 +uid 825,0 ps "OnEdgeStrategy" shape (Triangle -uid 566,0 +uid 826,0 ro 90 va (VaSet vasetType 1 @@ -1027,11 +1027,11 @@ fg "0,65535,0" xt "14250,11625,15000,12375" ) tg (CPTG -uid 567,0 +uid 827,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 568,0 +uid 828,0 va (VaSet font "Verdana,12,0" ) @@ -1042,7 +1042,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 569,0 +uid 829,0 va (VaSet font "Courier New,8,0" ) @@ -1056,7 +1056,7 @@ decl (Decl n "unlock" t "std_ulogic" o 6 -suid 42,0 +suid 66,0 ) ) ) @@ -1767,6 +1767,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 585,0 +lastUid 845,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/move/fsm.sm b/Cursor/hds/move/fsm.sm index 4f3d7f1..56d4cc8 100644 --- a/Cursor/hds/move/fsm.sm +++ b/Cursor/hds/move/fsm.sm @@ -78,7 +78,7 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\m ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -90,7 +90,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -118,7 +118,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -130,7 +130,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "15:08:02" +value "14:01:01" ) (vvPair variable "group" @@ -202,7 +202,7 @@ value "fsm" ) (vvPair variable "time" -value "15:08:02" +value "14:01:01" ) (vvPair variable "unit" @@ -2232,7 +2232,7 @@ tm "SmCompilerDirectivesTextMgr" associable 1 ) windowSize "0,0,1715,1119" -viewArea "-1900,-2300,84346,55178" +viewArea "-1900,-2300,84346,53554" cachedDiagramExtent "0,-1000,86600,47000" hasePageBreakOrigin 1 pageBreakOrigin "-8000,-2000" @@ -2609,7 +2609,7 @@ stateOrder [ name "csm" ) ] -lastUid 428,0 +lastUid 486,0 commonDM (CommonDM ldm (LogicalDM emptyRow *60 (LEmptyRow @@ -2705,7 +2705,7 @@ port (LogicalPort lang 11 decl (Decl n "power_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 5 ) @@ -2717,7 +2717,7 @@ port (LogicalPort lang 11 decl (Decl n "power_cruse" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 6 ) @@ -2729,7 +2729,7 @@ port (LogicalPort lang 11 decl (Decl n "power_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 7 ) @@ -4093,6 +4093,6 @@ pts [ ] ) ) -activeModelName "StateMachine" +activeModelName "StateMachine:CDM" LanguageMgr "Vhdl2008LangMgr" ) diff --git a/Cursor/hds/move/interface b/Cursor/hds/move/interface index 0ad202e..b6f0b03 100644 --- a/Cursor/hds/move/interface +++ b/Cursor/hds/move/interface @@ -11,9 +11,14 @@ unitName "std_logic_1164" library "ieee" unitName "numeric_std" ) +(DmPackageRef +library "gates" +unitName "gates" +) ] libraryRefs [ "ieee" +"gates" ] ) version "27.1" @@ -21,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 129,0 +suid 199,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -67,10 +72,10 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 116,0 +suid 186,0 ) ) -uid 1259,0 +uid 1887,0 ) *15 (LogPort port (LogicalPort @@ -79,10 +84,10 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 13 -suid 117,0 +suid 187,0 ) ) -uid 1261,0 +uid 1889,0 ) *16 (LogPort port (LogicalPort @@ -91,10 +96,10 @@ decl (Decl n "end_cruse" t "std_ulogic" o 14 -suid 118,0 +suid 188,0 ) ) -uid 1263,0 +uid 1891,0 ) *17 (LogPort port (LogicalPort @@ -103,10 +108,10 @@ decl (Decl n "end_deceleration" t "std_ulogic" o 15 -suid 119,0 +suid 189,0 ) ) -uid 1265,0 +uid 1893,0 ) *18 (LogPort port (LogicalPort @@ -114,50 +119,52 @@ lang 11 m 1 decl (Decl n "Power" -t "std_uLogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 8 -suid 120,0 +suid 190,0 ) ) -uid 1267,0 +uid 1895,0 ) *19 (LogPort port (LogicalPort lang 11 decl (Decl n "power_acceleration" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 22 -suid 121,0 +suid 191,0 ) ) -uid 1269,0 +uid 1897,0 ) *20 (LogPort port (LogicalPort lang 11 decl (Decl n "power_cruse" -t "std_ulogic" +t "unsigned" +b "(7 DOWNTO 0)" o 23 -suid 122,0 +suid 192,0 ) ) -uid 1271,0 +uid 1899,0 ) *21 (LogPort port (LogicalPort lang 11 decl (Decl n "power_deceleration" -t "std_ulogic" +t "unsigned" +b "(7 DOWNTO 0)" o 24 -suid 123,0 +suid 193,0 ) ) -uid 1273,0 +uid 1901,0 ) *22 (LogPort port (LogicalPort @@ -166,10 +173,10 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 124,0 +suid 194,0 ) ) -uid 1275,0 +uid 1903,0 ) *23 (LogPort port (LogicalPort @@ -179,10 +186,10 @@ decl (Decl n "SideL" t "std_ulogic" o 10 -suid 125,0 +suid 195,0 ) ) -uid 1277,0 +uid 1905,0 ) *24 (LogPort port (LogicalPort @@ -191,10 +198,10 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 26 -suid 126,0 +suid 196,0 ) ) -uid 1279,0 +uid 1907,0 ) *25 (LogPort port (LogicalPort @@ -203,10 +210,10 @@ decl (Decl n "sideL_cruse" t "std_ulogic" o 27 -suid 127,0 +suid 197,0 ) ) -uid 1281,0 +uid 1909,0 ) *26 (LogPort port (LogicalPort @@ -215,10 +222,10 @@ decl (Decl n "sideL_deceleration" t "std_ulogic" o 28 -suid 128,0 +suid 198,0 ) ) -uid 1283,0 +uid 1911,0 ) *27 (LogPort port (LogicalPort @@ -228,10 +235,10 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 129,0 +suid 199,0 ) ) -uid 1285,0 +uid 1913,0 ) ] ) @@ -287,85 +294,85 @@ uid 162,0 litem &14 pos 0 dimension 20 -uid 1260,0 +uid 1888,0 ) *34 (MRCItem litem &15 pos 1 dimension 20 -uid 1262,0 +uid 1890,0 ) *35 (MRCItem litem &16 pos 2 dimension 20 -uid 1264,0 +uid 1892,0 ) *36 (MRCItem litem &17 pos 3 dimension 20 -uid 1266,0 +uid 1894,0 ) *37 (MRCItem litem &18 pos 4 dimension 20 -uid 1268,0 +uid 1896,0 ) *38 (MRCItem litem &19 pos 5 dimension 20 -uid 1270,0 +uid 1898,0 ) *39 (MRCItem litem &20 pos 6 dimension 20 -uid 1272,0 +uid 1900,0 ) *40 (MRCItem litem &21 pos 7 dimension 20 -uid 1274,0 +uid 1902,0 ) *41 (MRCItem litem &22 pos 8 dimension 20 -uid 1276,0 +uid 1904,0 ) *42 (MRCItem litem &23 pos 9 dimension 20 -uid 1278,0 +uid 1906,0 ) *43 (MRCItem litem &24 pos 10 dimension 20 -uid 1280,0 +uid 1908,0 ) *44 (MRCItem litem &25 pos 11 dimension 20 -uid 1282,0 +uid 1910,0 ) *45 (MRCItem litem &26 pos 12 dimension 20 -uid 1284,0 +uid 1912,0 ) *46 (MRCItem litem &27 pos 13 dimension 20 -uid 1286,0 +uid 1914,0 ) ] ) @@ -640,19 +647,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\m ) (vvPair variable "date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "17" +value "21" ) (vvPair variable "entity_name" @@ -680,7 +687,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -692,7 +699,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:37:09" +value "14:07:25" ) (vvPair variable "group" @@ -764,7 +771,7 @@ value "interface" ) (vvPair variable "time" -value "09:37:09" +value "14:07:25" ) (vvPair variable "unit" @@ -799,10 +806,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *80 (CptPort -uid 1189,0 +uid 1817,0 ps "OnEdgeStrategy" shape (Triangle -uid 1190,0 +uid 1818,0 ro 90 va (VaSet vasetType 1 @@ -811,11 +818,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 1191,0 +uid 1819,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1192,0 +uid 1820,0 va (VaSet font "Verdana,12,0" ) @@ -826,7 +833,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1193,0 +uid 1821,0 va (VaSet font "Courier New,8,0" ) @@ -840,15 +847,15 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 116,0 +suid 186,0 ) ) ) *81 (CptPort -uid 1194,0 +uid 1822,0 ps "OnEdgeStrategy" shape (Triangle -uid 1195,0 +uid 1823,0 ro 180 va (VaSet vasetType 1 @@ -857,11 +864,11 @@ fg "0,65535,0" xt "35625,5250,36375,6000" ) tg (CPTG -uid 1196,0 +uid 1824,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1197,0 +uid 1825,0 ro 270 va (VaSet font "Verdana,12,0" @@ -874,7 +881,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1198,0 +uid 1826,0 va (VaSet font "Courier New,8,0" ) @@ -888,15 +895,15 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 13 -suid 117,0 +suid 187,0 ) ) ) *82 (CptPort -uid 1199,0 +uid 1827,0 ps "OnEdgeStrategy" shape (Triangle -uid 1200,0 +uid 1828,0 ro 180 va (VaSet vasetType 1 @@ -905,11 +912,11 @@ fg "0,65535,0" xt "62625,5250,63375,6000" ) tg (CPTG -uid 1201,0 +uid 1829,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1202,0 +uid 1830,0 ro 270 va (VaSet font "Verdana,12,0" @@ -922,7 +929,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1203,0 +uid 1831,0 va (VaSet font "Courier New,8,0" ) @@ -936,15 +943,15 @@ decl (Decl n "end_cruse" t "std_ulogic" o 14 -suid 118,0 +suid 188,0 ) ) ) *83 (CptPort -uid 1204,0 +uid 1832,0 ps "OnEdgeStrategy" shape (Triangle -uid 1205,0 +uid 1833,0 ro 180 va (VaSet vasetType 1 @@ -953,11 +960,11 @@ fg "0,65535,0" xt "89625,5250,90375,6000" ) tg (CPTG -uid 1206,0 +uid 1834,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1207,0 +uid 1835,0 ro 270 va (VaSet font "Verdana,12,0" @@ -970,7 +977,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1208,0 +uid 1836,0 va (VaSet font "Courier New,8,0" ) @@ -984,15 +991,15 @@ decl (Decl n "end_deceleration" t "std_ulogic" o 15 -suid 119,0 +suid 189,0 ) ) ) *84 (CptPort -uid 1209,0 +uid 1837,0 ps "OnEdgeStrategy" shape (Triangle -uid 1210,0 +uid 1838,0 ro 180 va (VaSet vasetType 1 @@ -1001,11 +1008,11 @@ fg "0,65535,0" xt "33625,12000,34375,12750" ) tg (CPTG -uid 1211,0 +uid 1839,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1212,0 +uid 1840,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1017,12 +1024,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1213,0 +uid 1841,0 va (VaSet font "Courier New,8,0" ) -xt "44000,11200,76000,12000" -st "Power : OUT std_uLogic_vector (7 DOWNTO 0) ; +xt "44000,11200,71500,12000" +st "Power : OUT unsigned (7 DOWNTO 0) ; " ) thePort (LogicalPort @@ -1030,18 +1037,18 @@ lang 11 m 1 decl (Decl n "Power" -t "std_uLogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 8 -suid 120,0 +suid 190,0 ) ) ) *85 (CptPort -uid 1214,0 +uid 1842,0 ps "OnEdgeStrategy" shape (Triangle -uid 1215,0 +uid 1843,0 ro 180 va (VaSet vasetType 1 @@ -1050,11 +1057,11 @@ fg "0,65535,0" xt "33625,5250,34375,6000" ) tg (CPTG -uid 1216,0 +uid 1844,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1217,0 +uid 1845,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1067,30 +1074,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1218,0 +uid 1846,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,76000,6400" -st "power_acceleration : IN std_ulogic_vector (7 DOWNTO 0) ; +xt "44000,5600,71500,6400" +st "power_acceleration : IN unsigned (7 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "power_acceleration" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 22 -suid 121,0 +suid 191,0 ) ) ) *86 (CptPort -uid 1219,0 +uid 1847,0 ps "OnEdgeStrategy" shape (Triangle -uid 1220,0 +uid 1848,0 ro 180 va (VaSet vasetType 1 @@ -1099,11 +1106,11 @@ fg "0,65535,0" xt "60625,5250,61375,6000" ) tg (CPTG -uid 1221,0 +uid 1849,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1222,0 +uid 1850,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1116,29 +1123,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1223,0 +uid 1851,0 va (VaSet font "Courier New,8,0" ) -xt "44000,6400,66500,7200" -st "power_cruse : IN std_ulogic ; +xt "44000,6400,71500,7200" +st "power_cruse : IN unsigned (7 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "power_cruse" -t "std_ulogic" +t "unsigned" +b "(7 DOWNTO 0)" o 23 -suid 122,0 +suid 192,0 ) ) ) *87 (CptPort -uid 1224,0 +uid 1852,0 ps "OnEdgeStrategy" shape (Triangle -uid 1225,0 +uid 1853,0 ro 180 va (VaSet vasetType 1 @@ -1147,11 +1155,11 @@ fg "0,65535,0" xt "87625,5250,88375,6000" ) tg (CPTG -uid 1226,0 +uid 1854,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1227,0 +uid 1855,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1164,29 +1172,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1228,0 +uid 1856,0 va (VaSet font "Courier New,8,0" ) -xt "44000,7200,66500,8000" -st "power_deceleration : IN std_ulogic ; +xt "44000,7200,71500,8000" +st "power_deceleration : IN unsigned (7 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "power_deceleration" -t "std_ulogic" +t "unsigned" +b "(7 DOWNTO 0)" o 24 -suid 123,0 +suid 193,0 ) ) ) *88 (CptPort -uid 1229,0 +uid 1857,0 ps "OnEdgeStrategy" shape (Triangle -uid 1230,0 +uid 1858,0 ro 90 va (VaSet vasetType 1 @@ -1195,11 +1204,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 1231,0 +uid 1859,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1232,0 +uid 1860,0 va (VaSet font "Verdana,12,0" ) @@ -1210,7 +1219,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1233,0 +uid 1861,0 va (VaSet font "Courier New,8,0" ) @@ -1224,15 +1233,15 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 124,0 +suid 194,0 ) ) ) *89 (CptPort -uid 1234,0 +uid 1862,0 ps "OnEdgeStrategy" shape (Triangle -uid 1235,0 +uid 1863,0 ro 180 va (VaSet vasetType 1 @@ -1241,11 +1250,11 @@ fg "0,65535,0" xt "31625,12000,32375,12750" ) tg (CPTG -uid 1236,0 +uid 1864,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1237,0 +uid 1865,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1257,7 +1266,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1238,0 +uid 1866,0 va (VaSet font "Courier New,8,0" ) @@ -1272,15 +1281,15 @@ decl (Decl n "SideL" t "std_ulogic" o 10 -suid 125,0 +suid 195,0 ) ) ) *90 (CptPort -uid 1239,0 +uid 1867,0 ps "OnEdgeStrategy" shape (Triangle -uid 1240,0 +uid 1868,0 ro 180 va (VaSet vasetType 1 @@ -1289,11 +1298,11 @@ fg "0,65535,0" xt "31625,5250,32375,6000" ) tg (CPTG -uid 1241,0 +uid 1869,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1242,0 +uid 1870,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1306,7 +1315,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1243,0 +uid 1871,0 va (VaSet font "Courier New,8,0" ) @@ -1320,15 +1329,15 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 26 -suid 126,0 +suid 196,0 ) ) ) *91 (CptPort -uid 1244,0 +uid 1872,0 ps "OnEdgeStrategy" shape (Triangle -uid 1245,0 +uid 1873,0 ro 180 va (VaSet vasetType 1 @@ -1337,11 +1346,11 @@ fg "0,65535,0" xt "58625,5250,59375,6000" ) tg (CPTG -uid 1246,0 +uid 1874,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1247,0 +uid 1875,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1354,7 +1363,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1248,0 +uid 1876,0 va (VaSet font "Courier New,8,0" ) @@ -1368,15 +1377,15 @@ decl (Decl n "sideL_cruse" t "std_ulogic" o 27 -suid 127,0 +suid 197,0 ) ) ) *92 (CptPort -uid 1249,0 +uid 1877,0 ps "OnEdgeStrategy" shape (Triangle -uid 1250,0 +uid 1878,0 ro 180 va (VaSet vasetType 1 @@ -1385,11 +1394,11 @@ fg "0,65535,0" xt "85625,5250,86375,6000" ) tg (CPTG -uid 1251,0 +uid 1879,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1252,0 +uid 1880,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1402,7 +1411,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1253,0 +uid 1881,0 va (VaSet font "Courier New,8,0" ) @@ -1416,15 +1425,15 @@ decl (Decl n "sideL_deceleration" t "std_ulogic" o 28 -suid 128,0 +suid 198,0 ) ) ) *93 (CptPort -uid 1254,0 +uid 1882,0 ps "OnEdgeStrategy" shape (Triangle -uid 1255,0 +uid 1883,0 ro 90 va (VaSet vasetType 1 @@ -1433,11 +1442,11 @@ fg "0,65535,0" xt "93000,9625,93750,10375" ) tg (CPTG -uid 1256,0 +uid 1884,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1257,0 +uid 1885,0 va (VaSet font "Verdana,12,0" ) @@ -1449,7 +1458,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1258,0 +uid 1886,0 va (VaSet font "Courier New,8,0" ) @@ -1464,7 +1473,7 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 129,0 +suid 199,0 ) ) ) @@ -1894,10 +1903,12 @@ blo "0,1000" uid 50,0 va (VaSet ) -xt "0,1200,17500,4800" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; - USE ieee.numeric_std.all;" + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" tm "PackageList" ) ] @@ -2175,6 +2186,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1286,0 +lastUid 1914,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/process_acceleration/interface b/Cursor/hds/process_acceleration/interface index 83fd943..3f6e5c1 100644 --- a/Cursor/hds/process_acceleration/interface +++ b/Cursor/hds/process_acceleration/interface @@ -11,9 +11,14 @@ unitName "std_logic_1164" library "ieee" unitName "numeric_std" ) +(DmPackageRef +library "gates" +unitName "gates" +) ] libraryRefs [ "ieee" +"gates" ] ) version "27.1" @@ -21,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 75,0 +suid 129,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -67,10 +72,10 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 67,0 +suid 121,0 ) ) -uid 1006,0 +uid 1568,0 ) *15 (LogPort port (LogicalPort @@ -80,10 +85,10 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 13 -suid 68,0 +suid 122,0 ) ) -uid 1008,0 +uid 1570,0 ) *16 (LogPort port (LogicalPort @@ -93,23 +98,23 @@ n "info_acceleration" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 16 -suid 69,0 +suid 123,0 ) ) -uid 1010,0 +uid 1572,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "Position" -t "std_uLogic_vector" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 -suid 70,0 +suid 124,0 ) ) -uid 1012,0 +uid 1574,0 ) *18 (LogPort port (LogicalPort @@ -117,13 +122,13 @@ lang 11 m 1 decl (Decl n "power_acceleration" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 22 -suid 71,0 +suid 125,0 ) ) -uid 1014,0 +uid 1576,0 ) *19 (LogPort port (LogicalPort @@ -132,10 +137,10 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 72,0 +suid 126,0 ) ) -uid 1016,0 +uid 1578,0 ) *20 (LogPort port (LogicalPort @@ -145,10 +150,10 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 26 -suid 73,0 +suid 127,0 ) ) -uid 1018,0 +uid 1580,0 ) *21 (LogPort port (LogicalPort @@ -157,10 +162,10 @@ decl (Decl n "skip_acceleration" t "std_ulogic" o 29 -suid 74,0 +suid 128,0 ) ) -uid 1020,0 +uid 1582,0 ) *22 (LogPort port (LogicalPort @@ -169,10 +174,10 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 75,0 +suid 129,0 ) ) -uid 1022,0 +uid 1584,0 ) ] ) @@ -228,55 +233,55 @@ uid 106,0 litem &14 pos 0 dimension 20 -uid 1007,0 +uid 1569,0 ) *29 (MRCItem litem &15 pos 1 dimension 20 -uid 1009,0 +uid 1571,0 ) *30 (MRCItem litem &16 pos 2 dimension 20 -uid 1011,0 +uid 1573,0 ) *31 (MRCItem litem &17 pos 3 dimension 20 -uid 1013,0 +uid 1575,0 ) *32 (MRCItem litem &18 pos 4 dimension 20 -uid 1015,0 +uid 1577,0 ) *33 (MRCItem litem &19 pos 5 dimension 20 -uid 1017,0 +uid 1579,0 ) *34 (MRCItem litem &20 pos 6 dimension 20 -uid 1019,0 +uid 1581,0 ) *35 (MRCItem litem &21 pos 7 dimension 20 -uid 1021,0 +uid 1583,0 ) *36 (MRCItem litem &22 pos 8 dimension 20 -uid 1023,0 +uid 1585,0 ) ] ) @@ -551,19 +556,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\p ) (vvPair variable "date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "17" +value "21" ) (vvPair variable "entity_name" @@ -591,7 +596,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -603,7 +608,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:37:09" +value "14:06:44" ) (vvPair variable "group" @@ -675,7 +680,7 @@ value "interface" ) (vvPair variable "time" -value "09:37:09" +value "14:06:44" ) (vvPair variable "unit" @@ -710,10 +715,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *70 (CptPort -uid 961,0 +uid 1523,0 ps "OnEdgeStrategy" shape (Triangle -uid 962,0 +uid 1524,0 ro 90 va (VaSet vasetType 1 @@ -722,11 +727,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 963,0 +uid 1525,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 964,0 +uid 1526,0 va (VaSet font "Verdana,12,0" ) @@ -737,13 +742,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 965,0 +uid 1527,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,66500,4000" -st "clk : IN std_ulogic ; -" ) thePort (LogicalPort lang 11 @@ -751,15 +753,15 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 67,0 +suid 121,0 ) ) ) *71 (CptPort -uid 966,0 +uid 1528,0 ps "OnEdgeStrategy" shape (Triangle -uid 967,0 +uid 1529,0 ro 180 va (VaSet vasetType 1 @@ -768,11 +770,11 @@ fg "0,65535,0" xt "33625,15000,34375,15750" ) tg (CPTG -uid 968,0 +uid 1530,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 969,0 +uid 1531,0 ro 270 va (VaSet font "Verdana,12,0" @@ -784,13 +786,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 970,0 +uid 1532,0 va (VaSet font "Courier New,8,0" ) -xt "44000,7200,66500,8000" -st "end_acceleration : OUT std_ulogic ; -" ) thePort (LogicalPort lang 11 @@ -799,15 +798,15 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 13 -suid 68,0 +suid 122,0 ) ) ) *72 (CptPort -uid 971,0 +uid 1533,0 ps "OnEdgeStrategy" shape (Triangle -uid 972,0 +uid 1534,0 ro 180 va (VaSet vasetType 1 @@ -816,11 +815,11 @@ fg "0,65535,0" xt "20625,5250,21375,6000" ) tg (CPTG -uid 973,0 +uid 1535,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 974,0 +uid 1536,0 ro 270 va (VaSet font "Verdana,12,0" @@ -833,13 +832,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 975,0 +uid 1537,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,76500,4800" -st "info_acceleration : IN std_ulogic_vector (15 DOWNTO 0) ; -" ) thePort (LogicalPort lang 11 @@ -848,15 +844,15 @@ n "info_acceleration" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 16 -suid 69,0 +suid 123,0 ) ) ) *73 (CptPort -uid 976,0 +uid 1538,0 ps "OnEdgeStrategy" shape (Triangle -uid 977,0 +uid 1539,0 ro 180 va (VaSet vasetType 1 @@ -865,11 +861,11 @@ fg "0,65535,0" xt "18625,5250,19375,6000" ) tg (CPTG -uid 978,0 +uid 1540,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 979,0 +uid 1541,0 ro 270 va (VaSet font "Verdana,12,0" @@ -882,30 +878,27 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 980,0 +uid 1542,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,76500,3200" -st "Position : IN std_uLogic_vector (15 DOWNTO 0) ; -" ) thePort (LogicalPort lang 11 decl (Decl n "Position" -t "std_uLogic_vector" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 -suid 70,0 +suid 124,0 ) ) ) *74 (CptPort -uid 981,0 +uid 1543,0 ps "OnEdgeStrategy" shape (Triangle -uid 982,0 +uid 1544,0 ro 180 va (VaSet vasetType 1 @@ -914,11 +907,11 @@ fg "0,65535,0" xt "31625,15000,32375,15750" ) tg (CPTG -uid 983,0 +uid 1545,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 984,0 +uid 1546,0 ro 270 va (VaSet font "Verdana,12,0" @@ -930,31 +923,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 985,0 +uid 1547,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8000,76000,8800" -st "power_acceleration : OUT std_ulogic_vector (7 DOWNTO 0) ; -" ) thePort (LogicalPort lang 11 m 1 decl (Decl n "power_acceleration" -t "std_ulogic_vector" +t "unsigned" b "(7 DOWNTO 0)" o 22 -suid 71,0 +suid 125,0 ) ) ) *75 (CptPort -uid 986,0 +uid 1548,0 ps "OnEdgeStrategy" shape (Triangle -uid 987,0 +uid 1549,0 ro 90 va (VaSet vasetType 1 @@ -963,11 +953,11 @@ fg "0,65535,0" xt "14250,8625,15000,9375" ) tg (CPTG -uid 988,0 +uid 1550,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 989,0 +uid 1551,0 va (VaSet font "Verdana,12,0" ) @@ -978,13 +968,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 990,0 +uid 1552,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,66500,5600" -st "rst : IN std_ulogic ; -" ) thePort (LogicalPort lang 11 @@ -992,15 +979,15 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 72,0 +suid 126,0 ) ) ) *76 (CptPort -uid 991,0 +uid 1553,0 ps "OnEdgeStrategy" shape (Triangle -uid 992,0 +uid 1554,0 ro 180 va (VaSet vasetType 1 @@ -1009,11 +996,11 @@ fg "0,65535,0" xt "29625,15000,30375,15750" ) tg (CPTG -uid 993,0 +uid 1555,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 994,0 +uid 1556,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1025,13 +1012,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 995,0 +uid 1557,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8800,65000,9600" -st "sideL_acceleration : OUT std_ulogic -" ) thePort (LogicalPort lang 11 @@ -1040,15 +1024,15 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 26 -suid 73,0 +suid 127,0 ) ) ) *77 (CptPort -uid 996,0 +uid 1558,0 ps "OnEdgeStrategy" shape (Triangle -uid 997,0 +uid 1559,0 ro 180 va (VaSet vasetType 1 @@ -1057,11 +1041,11 @@ fg "0,65535,0" xt "23625,5250,24375,6000" ) tg (CPTG -uid 998,0 +uid 1560,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 999,0 +uid 1561,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1074,13 +1058,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1000,0 +uid 1562,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,66500,6400" -st "skip_acceleration : IN std_ulogic ; -" ) thePort (LogicalPort lang 11 @@ -1088,15 +1069,15 @@ decl (Decl n "skip_acceleration" t "std_ulogic" o 29 -suid 74,0 +suid 128,0 ) ) ) *78 (CptPort -uid 1001,0 +uid 1563,0 ps "OnEdgeStrategy" shape (Triangle -uid 1002,0 +uid 1564,0 ro 270 va (VaSet vasetType 1 @@ -1105,11 +1086,11 @@ fg "0,65535,0" xt "36000,11625,36750,12375" ) tg (CPTG -uid 1003,0 +uid 1565,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1004,0 +uid 1566,0 va (VaSet font "Verdana,12,0" ) @@ -1121,13 +1102,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1005,0 +uid 1567,0 va (VaSet font "Courier New,8,0" ) -xt "44000,6400,66500,7200" -st "unlock : IN std_ulogic ; -" ) thePort (LogicalPort lang 11 @@ -1135,7 +1113,7 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 75,0 +suid 129,0 ) ) ) @@ -1565,10 +1543,12 @@ blo "0,1000" uid 50,0 va (VaSet ) -xt "0,1200,17500,4800" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; - USE ieee.numeric_std.all;" + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" tm "PackageList" ) ] @@ -1846,6 +1826,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1023,0 +lastUid 1585,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/process_acceleration/struct1.bd b/Cursor/hds/process_acceleration/struct1.bd index 2be1d03..5a5448c 100644 --- a/Cursor/hds/process_acceleration/struct1.bd +++ b/Cursor/hds/process_acceleration/struct1.bd @@ -97,7 +97,7 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\p ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -109,7 +109,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -137,7 +137,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -149,7 +149,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:13:45" +value "14:06:44" ) (vvPair variable "group" @@ -221,7 +221,7 @@ value "struct1" ) (vvPair variable "time" -value "09:13:45" +value "14:06:44" ) (vvPair variable "unit" @@ -302,7 +302,7 @@ uid 21,0 lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 2 suid 1,0 ) @@ -429,7 +429,7 @@ uid 49,0 lang 11 decl (Decl n "info_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 3 suid 3,0 @@ -494,7 +494,7 @@ uid 63,0 lang 11 decl (Decl n "Position" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 suid 4,0 @@ -623,7 +623,7 @@ uid 91,0 lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 suid 6,0 ) @@ -1105,7 +1105,7 @@ uid 328,0 lang 11 decl (Decl n "skip_acceleration" -t "unsigned" +t "std_ulogic" o 5 suid 8,0 ) @@ -2459,12 +2459,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "-8,-8,1722,1111" -viewArea "-30000,-15572,78144,55780" +windowSize "0,24,1715,1143" +viewArea "-30000,-15600,78551,56743" cachedDiagramExtent "-15300,-6200,74400,49000" hasePageBreakOrigin 1 pageBreakOrigin "-87000,-49000" -lastUid 1003,0 +lastUid 1240,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -3551,7 +3551,7 @@ port (LogicalPort lang 11 decl (Decl n "Position" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 suid 4,0 @@ -3591,7 +3591,7 @@ port (LogicalPort lang 11 decl (Decl n "info_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 3 suid 3,0 @@ -3617,7 +3617,7 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 2 suid 1,0 ) @@ -3629,7 +3629,7 @@ port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 suid 6,0 ) @@ -3641,7 +3641,7 @@ port (LogicalPort lang 11 decl (Decl n "skip_acceleration" -t "unsigned" +t "std_ulogic" o 5 suid 8,0 ) diff --git a/Cursor/hds/process_cruse/fsm.sm b/Cursor/hds/process_cruse/fsm.sm index 21fcb61..580e923 100644 --- a/Cursor/hds/process_cruse/fsm.sm +++ b/Cursor/hds/process_cruse/fsm.sm @@ -78,7 +78,7 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\p ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -90,7 +90,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -118,7 +118,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -130,7 +130,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "13:35:00" +value "14:07:01" ) (vvPair variable "group" @@ -202,7 +202,7 @@ value "fsm" ) (vvPair variable "time" -value "13:35:00" +value "14:07:01" ) (vvPair variable "unit" @@ -2908,7 +2908,7 @@ tm "SmCompilerDirectivesTextMgr" associable 1 ) windowSize "0,24,1715,1143" -viewArea "-6000,-6100,102551,66243" +viewArea "-6000,-6100,102551,64199" cachedDiagramExtent "0,-1000,93382,47000" hasePageBreakOrigin 1 pageBreakOrigin "0,-2000" @@ -3295,7 +3295,7 @@ stateOrder [ name "csm" ) ] -lastUid 505,0 +lastUid 563,0 commonDM (CommonDM ldm (LogicalDM emptyRow *67 (LEmptyRow @@ -3358,7 +3358,7 @@ port (LogicalPort lang 11 decl (Decl n "Position" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 ) @@ -3370,9 +3370,9 @@ port (LogicalPort lang 11 decl (Decl n "info_cruse" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 2 +o 3 ) ) uid 158,0 @@ -3383,7 +3383,7 @@ lang 11 decl (Decl n "unlock" t "std_ulogic" -o 3 +o 6 ) ) uid 160,0 @@ -3395,7 +3395,7 @@ m 1 decl (Decl n "end_cruse" t "std_ulogic" -o 4 +o 7 ) ) uid 162,0 @@ -3409,7 +3409,7 @@ decl (Decl n "power_cruse" t "unsigned" b "(7 DOWNTO 0)" -o 5 +o 8 ) ) uid 164,0 @@ -3420,13 +3420,12 @@ port (LogicalPort lang 11 decl (Decl n "sensor_bus" -t "unsigned" +t "std_ulogic_vector" b "(1 DOWNTO 0)" -o 6 +o 5 ) ) uid 166,0 -scheme 0 ) *92 (LeafLogPort port (LogicalPort @@ -3435,7 +3434,7 @@ m 1 decl (Decl n "sideL_cruse" t "std_ulogic" -o 7 +o 9 ) ) uid 168,0 @@ -3446,13 +3445,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" -o 8 +t "std_ulogic" +o 2 ) ) uid 170,0 cat 1 -scheme 0 expr "clk'EVENT AND clk = '1'" ) *94 (LeafLogPort @@ -3460,13 +3458,12 @@ port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" -o 9 +t "std_ulogic" +o 4 ) ) uid 172,0 cat 8 -scheme 0 expr "rst = '0'" ) ] @@ -3521,25 +3518,25 @@ uid 200,0 ) *100 (MRCItem litem &86 -pos 0 +pos 3 dimension 20 uid 157,0 ) *101 (MRCItem litem &87 -pos 1 +pos 2 dimension 20 uid 159,0 ) *102 (MRCItem litem &88 -pos 2 +pos 8 dimension 20 uid 161,0 ) *103 (MRCItem litem &89 -pos 3 +pos 1 dimension 20 uid 163,0 ) @@ -3551,25 +3548,25 @@ uid 165,0 ) *105 (MRCItem litem &91 -pos 5 +pos 6 dimension 20 uid 167,0 ) *106 (MRCItem litem &92 -pos 6 +pos 7 dimension 20 uid 169,0 ) *107 (MRCItem litem &93 -pos 7 +pos 0 dimension 20 uid 171,0 ) *108 (MRCItem litem &94 -pos 8 +pos 5 dimension 20 uid 173,0 ) @@ -4697,6 +4694,6 @@ pts [ ] ) ) -activeModelName "StateMachine" +activeModelName "StateMachine:CDM" LanguageMgr "Vhdl2008LangMgr" ) diff --git a/Cursor/hds/process_cruse/interface b/Cursor/hds/process_cruse/interface index 0a0586c..03b1d45 100644 --- a/Cursor/hds/process_cruse/interface +++ b/Cursor/hds/process_cruse/interface @@ -11,9 +11,14 @@ unitName "std_logic_1164" library "ieee" unitName "numeric_std" ) +(DmPackageRef +library "gates" +unitName "gates" +) ] libraryRefs [ "ieee" +"gates" ] ) version "27.1" @@ -21,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 54,0 +suid 99,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -67,10 +72,10 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 46,0 +suid 91,0 ) ) -uid 721,0 +uid 1197,0 ) *15 (LogPort port (LogicalPort @@ -80,10 +85,10 @@ decl (Decl n "end_cruse" t "std_ulogic" o 14 -suid 47,0 +suid 92,0 ) ) -uid 723,0 +uid 1199,0 ) *16 (LogPort port (LogicalPort @@ -93,23 +98,23 @@ n "info_cruse" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 17 -suid 48,0 +suid 93,0 ) ) -uid 725,0 +uid 1201,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "Position" -t "std_uLogic_vector" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 -suid 49,0 +suid 94,0 ) ) -uid 727,0 +uid 1203,0 ) *18 (LogPort port (LogicalPort @@ -117,12 +122,13 @@ lang 11 m 1 decl (Decl n "power_cruse" -t "std_ulogic" +t "unsigned" +b "(7 DOWNTO 0)" o 23 -suid 50,0 +suid 95,0 ) ) -uid 729,0 +uid 1205,0 ) *19 (LogPort port (LogicalPort @@ -131,10 +137,10 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 51,0 +suid 96,0 ) ) -uid 731,0 +uid 1207,0 ) *20 (LogPort port (LogicalPort @@ -144,10 +150,10 @@ n "sensor_bus" t "std_ulogic_vector" b "(1 DOWNTO 0)" o 25 -suid 52,0 +suid 97,0 ) ) -uid 733,0 +uid 1209,0 ) *21 (LogPort port (LogicalPort @@ -157,10 +163,10 @@ decl (Decl n "sideL_cruse" t "std_ulogic" o 27 -suid 53,0 +suid 98,0 ) ) -uid 735,0 +uid 1211,0 ) *22 (LogPort port (LogicalPort @@ -169,10 +175,10 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 54,0 +suid 99,0 ) ) -uid 737,0 +uid 1213,0 ) ] ) @@ -228,55 +234,55 @@ uid 120,0 litem &14 pos 0 dimension 20 -uid 722,0 +uid 1198,0 ) *29 (MRCItem litem &15 pos 1 dimension 20 -uid 724,0 +uid 1200,0 ) *30 (MRCItem litem &16 pos 2 dimension 20 -uid 726,0 +uid 1202,0 ) *31 (MRCItem litem &17 pos 3 dimension 20 -uid 728,0 +uid 1204,0 ) *32 (MRCItem litem &18 pos 4 dimension 20 -uid 730,0 +uid 1206,0 ) *33 (MRCItem litem &19 pos 5 dimension 20 -uid 732,0 +uid 1208,0 ) *34 (MRCItem litem &20 pos 6 dimension 20 -uid 734,0 +uid 1210,0 ) *35 (MRCItem litem &21 pos 7 dimension 20 -uid 736,0 +uid 1212,0 ) *36 (MRCItem litem &22 pos 8 dimension 20 -uid 738,0 +uid 1214,0 ) ] ) @@ -551,19 +557,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\p ) (vvPair variable "date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "17" +value "21" ) (vvPair variable "entity_name" @@ -591,7 +597,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -603,7 +609,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:37:09" +value "14:07:25" ) (vvPair variable "group" @@ -675,7 +681,7 @@ value "interface" ) (vvPair variable "time" -value "09:37:09" +value "14:07:25" ) (vvPair variable "unit" @@ -710,10 +716,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *70 (CptPort -uid 676,0 +uid 1152,0 ps "OnEdgeStrategy" shape (Triangle -uid 677,0 +uid 1153,0 ro 90 va (VaSet vasetType 1 @@ -722,11 +728,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 678,0 +uid 1154,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 679,0 +uid 1155,0 va (VaSet font "Verdana,12,0" ) @@ -737,7 +743,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 680,0 +uid 1156,0 va (VaSet font "Courier New,8,0" ) @@ -751,15 +757,15 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 46,0 +suid 91,0 ) ) ) *71 (CptPort -uid 681,0 +uid 1157,0 ps "OnEdgeStrategy" shape (Triangle -uid 682,0 +uid 1158,0 ro 180 va (VaSet vasetType 1 @@ -768,11 +774,11 @@ fg "0,65535,0" xt "33625,15000,34375,15750" ) tg (CPTG -uid 683,0 +uid 1159,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 684,0 +uid 1160,0 ro 270 va (VaSet font "Verdana,12,0" @@ -784,7 +790,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 685,0 +uid 1161,0 va (VaSet font "Courier New,8,0" ) @@ -799,15 +805,15 @@ decl (Decl n "end_cruse" t "std_ulogic" o 14 -suid 47,0 +suid 92,0 ) ) ) *72 (CptPort -uid 686,0 +uid 1162,0 ps "OnEdgeStrategy" shape (Triangle -uid 687,0 +uid 1163,0 ro 180 va (VaSet vasetType 1 @@ -816,11 +822,11 @@ fg "0,65535,0" xt "20625,5250,21375,6000" ) tg (CPTG -uid 688,0 +uid 1164,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 689,0 +uid 1165,0 ro 270 va (VaSet font "Verdana,12,0" @@ -833,7 +839,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 690,0 +uid 1166,0 va (VaSet font "Courier New,8,0" ) @@ -848,15 +854,15 @@ n "info_cruse" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 17 -suid 48,0 +suid 93,0 ) ) ) *73 (CptPort -uid 691,0 +uid 1167,0 ps "OnEdgeStrategy" shape (Triangle -uid 692,0 +uid 1168,0 ro 180 va (VaSet vasetType 1 @@ -865,11 +871,11 @@ fg "0,65535,0" xt "18625,5250,19375,6000" ) tg (CPTG -uid 693,0 +uid 1169,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 694,0 +uid 1170,0 ro 270 va (VaSet font "Verdana,12,0" @@ -882,30 +888,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 695,0 +uid 1171,0 va (VaSet font "Courier New,8,0" ) xt "44000,2400,73000,3200" -st "Position : IN std_uLogic_vector (15 DOWNTO 0) ; +st "Position : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "Position" -t "std_uLogic_vector" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 -suid 49,0 +suid 94,0 ) ) ) *74 (CptPort -uid 696,0 +uid 1172,0 ps "OnEdgeStrategy" shape (Triangle -uid 697,0 +uid 1173,0 ro 180 va (VaSet vasetType 1 @@ -914,11 +920,11 @@ fg "0,65535,0" xt "31625,15000,32375,15750" ) tg (CPTG -uid 698,0 +uid 1174,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 699,0 +uid 1175,0 ro 270 va (VaSet font "Verdana,12,0" @@ -930,12 +936,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 700,0 +uid 1176,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8000,62500,8800" -st "power_cruse : OUT std_ulogic ; +xt "44000,8000,68000,8800" +st "power_cruse : OUT unsigned (7 DOWNTO 0) ; " ) thePort (LogicalPort @@ -943,17 +949,18 @@ lang 11 m 1 decl (Decl n "power_cruse" -t "std_ulogic" +t "unsigned" +b "(7 DOWNTO 0)" o 23 -suid 50,0 +suid 95,0 ) ) ) *75 (CptPort -uid 701,0 +uid 1177,0 ps "OnEdgeStrategy" shape (Triangle -uid 702,0 +uid 1178,0 ro 90 va (VaSet vasetType 1 @@ -962,11 +969,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 703,0 +uid 1179,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 704,0 +uid 1180,0 va (VaSet font "Verdana,12,0" ) @@ -977,7 +984,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 705,0 +uid 1181,0 va (VaSet font "Courier New,8,0" ) @@ -991,15 +998,15 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 51,0 +suid 96,0 ) ) ) *76 (CptPort -uid 706,0 +uid 1182,0 ps "OnEdgeStrategy" shape (Triangle -uid 707,0 +uid 1183,0 ro 270 va (VaSet vasetType 1 @@ -1008,11 +1015,11 @@ fg "0,65535,0" xt "36000,12625,36750,13375" ) tg (CPTG -uid 708,0 +uid 1184,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 709,0 +uid 1185,0 va (VaSet font "Verdana,12,0" ) @@ -1024,7 +1031,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 710,0 +uid 1186,0 va (VaSet font "Courier New,8,0" ) @@ -1039,15 +1046,15 @@ n "sensor_bus" t "std_ulogic_vector" b "(1 DOWNTO 0)" o 25 -suid 52,0 +suid 97,0 ) ) ) *77 (CptPort -uid 711,0 +uid 1187,0 ps "OnEdgeStrategy" shape (Triangle -uid 712,0 +uid 1188,0 ro 180 va (VaSet vasetType 1 @@ -1056,11 +1063,11 @@ fg "0,65535,0" xt "29625,15000,30375,15750" ) tg (CPTG -uid 713,0 +uid 1189,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 714,0 +uid 1190,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1072,7 +1079,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 715,0 +uid 1191,0 va (VaSet font "Courier New,8,0" ) @@ -1087,15 +1094,15 @@ decl (Decl n "sideL_cruse" t "std_ulogic" o 27 -suid 53,0 +suid 98,0 ) ) ) *78 (CptPort -uid 716,0 +uid 1192,0 ps "OnEdgeStrategy" shape (Triangle -uid 717,0 +uid 1193,0 ro 270 va (VaSet vasetType 1 @@ -1104,11 +1111,11 @@ fg "0,65535,0" xt "36000,10625,36750,11375" ) tg (CPTG -uid 718,0 +uid 1194,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 719,0 +uid 1195,0 va (VaSet font "Verdana,12,0" ) @@ -1120,7 +1127,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 720,0 +uid 1196,0 va (VaSet font "Courier New,8,0" ) @@ -1134,7 +1141,7 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 54,0 +suid 99,0 ) ) ) @@ -1564,10 +1571,12 @@ blo "0,1000" uid 50,0 va (VaSet ) -xt "0,1200,17500,4800" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; - USE ieee.numeric_std.all;" + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" tm "PackageList" ) ] @@ -1845,6 +1854,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 738,0 +lastUid 1214,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/process_deceleration/fsm.sm b/Cursor/hds/process_deceleration/fsm.sm index d2ba2a8..c2a78bc 100644 --- a/Cursor/hds/process_deceleration/fsm.sm +++ b/Cursor/hds/process_deceleration/fsm.sm @@ -78,7 +78,7 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\p ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -90,7 +90,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -118,7 +118,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -130,7 +130,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "15:07:05" +value "14:07:14" ) (vvPair variable "group" @@ -202,7 +202,7 @@ value "fsm" ) (vvPair variable "time" -value "15:07:05" +value "14:07:14" ) (vvPair variable "unit" @@ -230,6 +230,7 @@ value "21" ) ] ) +uid 348,0 optionalChildren [ *1 (ConcurrentSM uid 1,0 @@ -2473,8 +2474,8 @@ tm "SmCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "-8,-8,1722,1111" -viewArea "-500,-10490,134680,78700" +windowSize "0,0,1715,1119" +viewArea "-500,-10500,134817,77133" cachedDiagramExtent "0,-1000,125000,47000" hasePageBreakOrigin 1 pageBreakOrigin "0,-2000" @@ -2853,11 +2854,12 @@ stateOrder [ name "csm" ) ] -lastUid 347,0 +lastUid 445,0 commonDM (CommonDM ldm (LogicalDM emptyRow *62 (LEmptyRow ) +uid 350,0 optionalChildren [ *63 (RefLabelRowHdr ) @@ -2915,7 +2917,7 @@ port (LogicalPort lang 11 decl (Decl n "Position" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 ) @@ -2927,9 +2929,9 @@ port (LogicalPort lang 11 decl (Decl n "info_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 2 +o 3 ) ) uid 158,0 @@ -2940,7 +2942,7 @@ lang 11 decl (Decl n "skip_deceleration" t "std_uLogic" -o 3 +o 5 ) ) uid 160,0 @@ -2951,7 +2953,7 @@ lang 11 decl (Decl n "unlock" t "std_ulogic" -o 4 +o 6 ) ) uid 162,0 @@ -2963,7 +2965,7 @@ m 1 decl (Decl n "RaZ" t "std_ulogic" -o 5 +o 7 ) ) uid 164,0 @@ -2976,7 +2978,7 @@ m 1 decl (Decl n "end_deceleration" t "std_ulogic" -o 6 +o 8 ) ) uid 166,0 @@ -2990,7 +2992,7 @@ decl (Decl n "power_deceleration" t "unsigned" b "(7 DOWNTO 0)" -o 7 +o 9 ) ) uid 168,0 @@ -3003,7 +3005,7 @@ m 1 decl (Decl n "sideL_deceleration" t "std_ulogic" -o 8 +o 10 ) ) uid 170,0 @@ -3014,13 +3016,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" -o 9 +t "std_ulogic" +o 2 ) ) uid 172,0 cat 1 -scheme 0 expr "clk'EVENT AND clk = '1'" ) *90 (LeafLogPort @@ -3028,13 +3029,12 @@ port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" -o 10 +t "std_ulogic" +o 4 ) ) uid 174,0 cat 8 -scheme 0 expr "rst = '0'" ) ] @@ -3042,6 +3042,7 @@ expr "rst = '0'" pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 +uid 369,0 optionalChildren [ *91 (Sheet sheetRow (SheetRow @@ -3065,62 +3066,66 @@ litem &62 pos 10 dimension 20 ) +uid 371,0 optionalChildren [ *93 (MRCItem litem &63 pos 0 dimension 20 +uid 372,0 ) *94 (MRCItem litem &64 pos 1 dimension 23 +uid 373,0 ) *95 (MRCItem litem &65 pos 2 hidden 1 dimension 20 +uid 374,0 ) *96 (MRCItem litem &81 -pos 0 +pos 3 dimension 20 uid 157,0 ) *97 (MRCItem litem &82 -pos 1 +pos 2 dimension 20 uid 159,0 ) *98 (MRCItem litem &83 -pos 2 +pos 8 dimension 20 uid 161,0 ) *99 (MRCItem litem &84 -pos 3 +pos 9 dimension 20 uid 163,0 ) *100 (MRCItem litem &85 -pos 4 +pos 5 dimension 20 uid 165,0 ) *101 (MRCItem litem &86 -pos 5 +pos 1 dimension 20 uid 167,0 ) *102 (MRCItem litem &87 -pos 6 +pos 4 dimension 20 uid 169,0 ) @@ -3132,13 +3137,13 @@ uid 171,0 ) *104 (MRCItem litem &89 -pos 8 +pos 0 dimension 20 uid 173,0 ) *105 (MRCItem litem &90 -pos 9 +pos 6 dimension 20 uid 175,0 ) @@ -3151,93 +3156,111 @@ fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) +uid 375,0 optionalChildren [ *106 (MRCItem litem &66 pos 0 dimension 20 +uid 376,0 ) *107 (MRCItem litem &68 pos 1 dimension 50 +uid 377,0 ) *108 (MRCItem litem &69 pos 2 dimension 70 +uid 378,0 ) *109 (MRCItem litem &70 pos 3 dimension 50 +uid 379,0 ) *110 (MRCItem litem &71 pos 4 dimension 80 +uid 380,0 ) *111 (MRCItem litem &72 pos 5 dimension 80 +uid 381,0 ) *112 (MRCItem litem &73 pos 6 dimension 40 +uid 382,0 ) *113 (MRCItem litem &74 pos 7 dimension 100 +uid 383,0 ) *114 (MRCItem litem &75 pos 8 dimension 60 +uid 384,0 ) *115 (MRCItem litem &76 pos 9 dimension 130 +uid 385,0 ) *116 (MRCItem litem &77 pos 10 dimension 56 +uid 386,0 ) *117 (MRCItem litem &78 pos 11 dimension 50 +uid 387,0 ) *118 (MRCItem litem &79 pos 12 dimension 50 +uid 388,0 ) *119 (MRCItem litem &80 pos 13 dimension 80 +uid 389,0 ) ] ) fixedCol 4 fixedRow 2 name "Ports" +uid 370,0 vaOverrides [ ] ) ] ) +uid 349,0 ) cdmCsm &1 genericsCommonDM (CommonDM ldm (LogicalDM emptyRow *120 (LEmptyRow ) +uid 391,0 optionalChildren [ *121 (RefLabelRowHdr ) @@ -3274,6 +3297,7 @@ tm "GenericEolColHdrMgr" pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 +uid 403,0 optionalChildren [ *132 (Sheet sheetRow (SheetRow @@ -3297,22 +3321,26 @@ litem &120 pos 0 dimension 20 ) +uid 405,0 optionalChildren [ *134 (MRCItem litem &121 pos 0 dimension 20 +uid 406,0 ) *135 (MRCItem litem &122 pos 1 dimension 23 +uid 407,0 ) *136 (MRCItem litem &123 pos 2 hidden 1 dimension 20 +uid 408,0 ) ] ) @@ -3323,52 +3351,62 @@ fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) +uid 409,0 optionalChildren [ *137 (MRCItem litem &124 pos 0 dimension 20 +uid 410,0 ) *138 (MRCItem litem &126 pos 1 dimension 50 +uid 411,0 ) *139 (MRCItem litem &127 pos 2 dimension 100 +uid 412,0 ) *140 (MRCItem litem &128 pos 3 dimension 100 +uid 413,0 ) *141 (MRCItem litem &129 pos 4 dimension 50 +uid 414,0 ) *142 (MRCItem litem &130 pos 5 dimension 50 +uid 415,0 ) *143 (MRCItem litem &131 pos 6 dimension 80 +uid 416,0 ) ] ) fixedCol 3 fixedRow 2 name "Ports" +uid 404,0 vaOverrides [ ] ) ] ) +uid 390,0 type 1 ) signalSuffix "_int" @@ -3949,7 +3987,7 @@ va (VaSet vasetType 1 transparent 1 ) -xt "-371,972,-116,1278" +xt "-441,926,-116,1323" ) ] ) @@ -4024,7 +4062,7 @@ va (VaSet vasetType 1 transparent 1 ) -xt "-415,1064,-76,1263" +xt "-425,943,-76,1304" ) (Line sl 0 @@ -4233,6 +4271,6 @@ pts [ ] ) ) -activeModelName "StateMachine" +activeModelName "StateMachine:CDM" LanguageMgr "Vhdl2008LangMgr" ) diff --git a/Cursor/hds/process_deceleration/interface b/Cursor/hds/process_deceleration/interface index 38d1553..f073c46 100644 --- a/Cursor/hds/process_deceleration/interface +++ b/Cursor/hds/process_deceleration/interface @@ -11,9 +11,14 @@ unitName "std_logic_1164" library "ieee" unitName "numeric_std" ) +(DmPackageRef +library "gates" +unitName "gates" +) ] libraryRefs [ "ieee" +"gates" ] ) version "27.1" @@ -21,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 40,0 +suid 90,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -67,10 +72,10 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 31,0 +suid 81,0 ) ) -uid 483,0 +uid 994,0 ) *15 (LogPort port (LogicalPort @@ -80,10 +85,10 @@ decl (Decl n "end_deceleration" t "std_ulogic" o 15 -suid 32,0 +suid 82,0 ) ) -uid 485,0 +uid 996,0 ) *16 (LogPort port (LogicalPort @@ -93,23 +98,23 @@ n "info_deceleration" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 18 -suid 33,0 +suid 83,0 ) ) -uid 487,0 +uid 998,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "Position" -t "std_uLogic_vector" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 -suid 34,0 +suid 84,0 ) ) -uid 489,0 +uid 1000,0 ) *18 (LogPort port (LogicalPort @@ -117,12 +122,13 @@ lang 11 m 1 decl (Decl n "power_deceleration" -t "std_ulogic" +t "unsigned" +b "(7 DOWNTO 0)" o 24 -suid 35,0 +suid 85,0 ) ) -uid 491,0 +uid 1002,0 ) *19 (LogPort port (LogicalPort @@ -132,10 +138,10 @@ decl (Decl n "RaZ" t "std_ulogic" o 9 -suid 36,0 +suid 86,0 ) ) -uid 493,0 +uid 1004,0 ) *20 (LogPort port (LogicalPort @@ -144,10 +150,10 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 37,0 +suid 87,0 ) ) -uid 495,0 +uid 1006,0 ) *21 (LogPort port (LogicalPort @@ -157,10 +163,10 @@ decl (Decl n "sideL_deceleration" t "std_ulogic" o 28 -suid 38,0 +suid 88,0 ) ) -uid 497,0 +uid 1008,0 ) *22 (LogPort port (LogicalPort @@ -169,10 +175,10 @@ decl (Decl n "skip_deceleration" t "std_uLogic" o 30 -suid 39,0 +suid 89,0 ) ) -uid 499,0 +uid 1010,0 ) *23 (LogPort port (LogicalPort @@ -181,10 +187,10 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 40,0 +suid 90,0 ) ) -uid 501,0 +uid 1012,0 ) ] ) @@ -240,61 +246,61 @@ uid 127,0 litem &14 pos 0 dimension 20 -uid 484,0 +uid 995,0 ) *30 (MRCItem litem &15 pos 1 dimension 20 -uid 486,0 +uid 997,0 ) *31 (MRCItem litem &16 pos 2 dimension 20 -uid 488,0 +uid 999,0 ) *32 (MRCItem litem &17 pos 3 dimension 20 -uid 490,0 +uid 1001,0 ) *33 (MRCItem litem &18 pos 4 dimension 20 -uid 492,0 +uid 1003,0 ) *34 (MRCItem litem &19 pos 5 dimension 20 -uid 494,0 +uid 1005,0 ) *35 (MRCItem litem &20 pos 6 dimension 20 -uid 496,0 +uid 1007,0 ) *36 (MRCItem litem &21 pos 7 dimension 20 -uid 498,0 +uid 1009,0 ) *37 (MRCItem litem &22 pos 8 dimension 20 -uid 500,0 +uid 1011,0 ) *38 (MRCItem litem &23 pos 9 dimension 20 -uid 502,0 +uid 1013,0 ) ] ) @@ -569,19 +575,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\p ) (vvPair variable "date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "17" +value "21" ) (vvPair variable "entity_name" @@ -609,7 +615,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -621,7 +627,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:37:09" +value "14:07:25" ) (vvPair variable "group" @@ -693,7 +699,7 @@ value "interface" ) (vvPair variable "time" -value "09:37:09" +value "14:07:25" ) (vvPair variable "unit" @@ -728,10 +734,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *72 (CptPort -uid 433,0 +uid 944,0 ps "OnEdgeStrategy" shape (Triangle -uid 434,0 +uid 945,0 ro 90 va (VaSet vasetType 1 @@ -740,11 +746,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 435,0 +uid 946,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 436,0 +uid 947,0 va (VaSet font "Verdana,12,0" ) @@ -755,7 +761,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 437,0 +uid 948,0 va (VaSet font "Courier New,8,0" ) @@ -769,15 +775,15 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 31,0 +suid 81,0 ) ) ) *73 (CptPort -uid 438,0 +uid 949,0 ps "OnEdgeStrategy" shape (Triangle -uid 439,0 +uid 950,0 ro 180 va (VaSet vasetType 1 @@ -786,11 +792,11 @@ fg "0,65535,0" xt "33625,15000,34375,15750" ) tg (CPTG -uid 440,0 +uid 951,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 441,0 +uid 952,0 ro 270 va (VaSet font "Verdana,12,0" @@ -802,7 +808,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 442,0 +uid 953,0 va (VaSet font "Courier New,8,0" ) @@ -817,15 +823,15 @@ decl (Decl n "end_deceleration" t "std_ulogic" o 15 -suid 32,0 +suid 82,0 ) ) ) *74 (CptPort -uid 443,0 +uid 954,0 ps "OnEdgeStrategy" shape (Triangle -uid 444,0 +uid 955,0 ro 180 va (VaSet vasetType 1 @@ -834,11 +840,11 @@ fg "0,65535,0" xt "20625,5250,21375,6000" ) tg (CPTG -uid 445,0 +uid 956,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 446,0 +uid 957,0 ro 270 va (VaSet font "Verdana,12,0" @@ -851,7 +857,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 447,0 +uid 958,0 va (VaSet font "Courier New,8,0" ) @@ -866,15 +872,15 @@ n "info_deceleration" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 18 -suid 33,0 +suid 83,0 ) ) ) *75 (CptPort -uid 448,0 +uid 959,0 ps "OnEdgeStrategy" shape (Triangle -uid 449,0 +uid 960,0 ro 180 va (VaSet vasetType 1 @@ -883,11 +889,11 @@ fg "0,65535,0" xt "18625,5250,19375,6000" ) tg (CPTG -uid 450,0 +uid 961,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 451,0 +uid 962,0 ro 270 va (VaSet font "Verdana,12,0" @@ -900,30 +906,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 452,0 +uid 963,0 va (VaSet font "Courier New,8,0" ) xt "44000,2400,76500,3200" -st "Position : IN std_uLogic_vector (15 DOWNTO 0) ; +st "Position : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "Position" -t "std_uLogic_vector" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 -suid 34,0 +suid 84,0 ) ) ) *76 (CptPort -uid 453,0 +uid 964,0 ps "OnEdgeStrategy" shape (Triangle -uid 454,0 +uid 965,0 ro 180 va (VaSet vasetType 1 @@ -932,11 +938,11 @@ fg "0,65535,0" xt "31625,15000,32375,15750" ) tg (CPTG -uid 455,0 +uid 966,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 456,0 +uid 967,0 ro 270 va (VaSet font "Verdana,12,0" @@ -948,12 +954,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 457,0 +uid 968,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8800,66500,9600" -st "power_deceleration : OUT std_ulogic ; +xt "44000,8800,71500,9600" +st "power_deceleration : OUT unsigned (7 DOWNTO 0) ; " ) thePort (LogicalPort @@ -961,17 +967,18 @@ lang 11 m 1 decl (Decl n "power_deceleration" -t "std_ulogic" +t "unsigned" +b "(7 DOWNTO 0)" o 24 -suid 35,0 +suid 85,0 ) ) ) *77 (CptPort -uid 458,0 +uid 969,0 ps "OnEdgeStrategy" shape (Triangle -uid 459,0 +uid 970,0 ro 90 va (VaSet vasetType 1 @@ -980,11 +987,11 @@ fg "0,65535,0" xt "36000,8625,36750,9375" ) tg (CPTG -uid 460,0 +uid 971,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 461,0 +uid 972,0 va (VaSet font "Verdana,12,0" ) @@ -996,7 +1003,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 462,0 +uid 973,0 va (VaSet font "Courier New,8,0" ) @@ -1011,15 +1018,15 @@ decl (Decl n "RaZ" t "std_ulogic" o 9 -suid 36,0 +suid 86,0 ) ) ) *78 (CptPort -uid 463,0 +uid 974,0 ps "OnEdgeStrategy" shape (Triangle -uid 464,0 +uid 975,0 ro 90 va (VaSet vasetType 1 @@ -1028,11 +1035,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 465,0 +uid 976,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 466,0 +uid 977,0 va (VaSet font "Verdana,12,0" ) @@ -1043,7 +1050,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 467,0 +uid 978,0 va (VaSet font "Courier New,8,0" ) @@ -1057,15 +1064,15 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 37,0 +suid 87,0 ) ) ) *79 (CptPort -uid 468,0 +uid 979,0 ps "OnEdgeStrategy" shape (Triangle -uid 469,0 +uid 980,0 ro 180 va (VaSet vasetType 1 @@ -1074,11 +1081,11 @@ fg "0,65535,0" xt "29625,15000,30375,15750" ) tg (CPTG -uid 470,0 +uid 981,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 471,0 +uid 982,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1090,7 +1097,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 472,0 +uid 983,0 va (VaSet font "Courier New,8,0" ) @@ -1105,15 +1112,15 @@ decl (Decl n "sideL_deceleration" t "std_ulogic" o 28 -suid 38,0 +suid 88,0 ) ) ) *80 (CptPort -uid 473,0 +uid 984,0 ps "OnEdgeStrategy" shape (Triangle -uid 474,0 +uid 985,0 ro 180 va (VaSet vasetType 1 @@ -1122,11 +1129,11 @@ fg "0,65535,0" xt "23625,5250,24375,6000" ) tg (CPTG -uid 475,0 +uid 986,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 476,0 +uid 987,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1139,7 +1146,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 477,0 +uid 988,0 va (VaSet font "Courier New,8,0" ) @@ -1153,15 +1160,15 @@ decl (Decl n "skip_deceleration" t "std_uLogic" o 30 -suid 39,0 +suid 89,0 ) ) ) *81 (CptPort -uid 478,0 +uid 989,0 ps "OnEdgeStrategy" shape (Triangle -uid 479,0 +uid 990,0 ro 270 va (VaSet vasetType 1 @@ -1170,11 +1177,11 @@ fg "0,65535,0" xt "36000,11625,36750,12375" ) tg (CPTG -uid 480,0 +uid 991,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 481,0 +uid 992,0 va (VaSet font "Verdana,12,0" ) @@ -1186,7 +1193,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 482,0 +uid 993,0 va (VaSet font "Courier New,8,0" ) @@ -1200,7 +1207,7 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 40,0 +suid 90,0 ) ) ) @@ -1630,10 +1637,12 @@ blo "0,1000" uid 50,0 va (VaSet ) -xt "0,1200,17500,4800" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; - USE ieee.numeric_std.all;" + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" tm "PackageList" ) ] @@ -1911,6 +1920,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 502,0 +lastUid 1013,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/selector_acceleration/interface b/Cursor/hds/selector_acceleration/interface index 52d8841..00961c2 100644 --- a/Cursor/hds/selector_acceleration/interface +++ b/Cursor/hds/selector_acceleration/interface @@ -11,9 +11,14 @@ unitName "std_logic_1164" library "ieee" unitName "numeric_std" ) +(DmPackageRef +library "gates" +unitName "gates" +) ] libraryRefs [ "ieee" +"gates" ] ) version "27.1" @@ -21,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 45,0 +suid 81,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -68,10 +73,10 @@ n "button" t "std_uLogic_vector" b "(3 DOWNTO 0)" o 2 -suid 37,0 +suid 73,0 ) ) -uid 658,0 +uid 1002,0 ) *15 (LogPort port (LogicalPort @@ -80,10 +85,10 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 38,0 +suid 74,0 ) ) -uid 660,0 +uid 1004,0 ) *16 (LogPort port (LogicalPort @@ -94,10 +99,10 @@ n "info_acceleration" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 16 -suid 39,0 +suid 75,0 ) ) -uid 662,0 +uid 1006,0 ) *17 (LogPort port (LogicalPort @@ -107,10 +112,10 @@ n "pos1" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 40,0 +suid 76,0 ) ) -uid 664,0 +uid 1008,0 ) *18 (LogPort port (LogicalPort @@ -120,10 +125,10 @@ n "pos2" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 41,0 +suid 77,0 ) ) -uid 666,0 +uid 1010,0 ) *19 (LogPort port (LogicalPort @@ -133,23 +138,23 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 42,0 +suid 78,0 ) ) -uid 668,0 +uid 1012,0 ) *20 (LogPort port (LogicalPort lang 11 decl (Decl n "Position" -t "std_uLogic_vector" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 -suid 43,0 +suid 79,0 ) ) -uid 670,0 +uid 1014,0 ) *21 (LogPort port (LogicalPort @@ -158,10 +163,10 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 44,0 +suid 80,0 ) ) -uid 672,0 +uid 1016,0 ) *22 (LogPort port (LogicalPort @@ -171,10 +176,10 @@ decl (Decl n "skip_acceleration" t "std_ulogic" o 29 -suid 45,0 +suid 81,0 ) ) -uid 674,0 +uid 1018,0 ) ] ) @@ -230,55 +235,55 @@ uid 113,0 litem &14 pos 0 dimension 20 -uid 659,0 +uid 1003,0 ) *29 (MRCItem litem &15 pos 1 dimension 20 -uid 661,0 +uid 1005,0 ) *30 (MRCItem litem &16 pos 2 dimension 20 -uid 663,0 +uid 1007,0 ) *31 (MRCItem litem &17 pos 3 dimension 20 -uid 665,0 +uid 1009,0 ) *32 (MRCItem litem &18 pos 4 dimension 20 -uid 667,0 +uid 1011,0 ) *33 (MRCItem litem &19 pos 5 dimension 20 -uid 669,0 +uid 1013,0 ) *34 (MRCItem litem &20 pos 6 dimension 20 -uid 671,0 +uid 1015,0 ) *35 (MRCItem litem &21 pos 7 dimension 20 -uid 673,0 +uid 1017,0 ) *36 (MRCItem litem &22 pos 8 dimension 20 -uid 675,0 +uid 1019,0 ) ] ) @@ -553,19 +558,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\s ) (vvPair variable "date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "17" +value "21" ) (vvPair variable "entity_name" @@ -593,7 +598,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -605,7 +610,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:37:09" +value "14:07:25" ) (vvPair variable "group" @@ -677,7 +682,7 @@ value "interface" ) (vvPair variable "time" -value "09:37:09" +value "14:07:25" ) (vvPair variable "unit" @@ -712,10 +717,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *70 (CptPort -uid 613,0 +uid 957,0 ps "OnEdgeStrategy" shape (Triangle -uid 614,0 +uid 958,0 ro 180 va (VaSet vasetType 1 @@ -724,11 +729,11 @@ fg "0,65535,0" xt "16625,5250,17375,6000" ) tg (CPTG -uid 615,0 +uid 959,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 616,0 +uid 960,0 ro 270 va (VaSet font "Verdana,12,0" @@ -741,7 +746,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 617,0 +uid 961,0 va (VaSet font "Courier New,8,0" ) @@ -756,15 +761,15 @@ n "button" t "std_uLogic_vector" b "(3 DOWNTO 0)" o 2 -suid 37,0 +suid 73,0 ) ) ) *71 (CptPort -uid 618,0 +uid 962,0 ps "OnEdgeStrategy" shape (Triangle -uid 619,0 +uid 963,0 ro 180 va (VaSet vasetType 1 @@ -773,11 +778,11 @@ fg "0,65535,0" xt "19625,5250,20375,6000" ) tg (CPTG -uid 620,0 +uid 964,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 621,0 +uid 965,0 ro 270 va (VaSet font "Verdana,12,0" @@ -790,7 +795,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 622,0 +uid 966,0 va (VaSet font "Courier New,8,0" ) @@ -804,15 +809,15 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 38,0 +suid 74,0 ) ) ) *72 (CptPort -uid 623,0 +uid 967,0 ps "OnEdgeStrategy" shape (Triangle -uid 624,0 +uid 968,0 ro 180 va (VaSet vasetType 1 @@ -821,11 +826,11 @@ fg "0,65535,0" xt "16625,13000,17375,13750" ) tg (CPTG -uid 625,0 +uid 969,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 626,0 +uid 970,0 ro 270 va (VaSet font "Verdana,12,0" @@ -837,7 +842,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 627,0 +uid 971,0 va (VaSet font "Courier New,8,0" ) @@ -853,15 +858,15 @@ n "info_acceleration" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 16 -suid 39,0 +suid 75,0 ) ) ) *73 (CptPort -uid 628,0 +uid 972,0 ps "OnEdgeStrategy" shape (Triangle -uid 629,0 +uid 973,0 ro 90 va (VaSet vasetType 1 @@ -870,11 +875,11 @@ fg "0,65535,0" xt "14250,11625,15000,12375" ) tg (CPTG -uid 630,0 +uid 974,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 631,0 +uid 975,0 va (VaSet font "Verdana,12,0" ) @@ -885,7 +890,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 632,0 +uid 976,0 va (VaSet font "Courier New,8,0" ) @@ -900,15 +905,15 @@ n "pos1" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 40,0 +suid 76,0 ) ) ) *74 (CptPort -uid 633,0 +uid 977,0 ps "OnEdgeStrategy" shape (Triangle -uid 634,0 +uid 978,0 ro 90 va (VaSet vasetType 1 @@ -917,11 +922,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 635,0 +uid 979,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 636,0 +uid 980,0 va (VaSet font "Verdana,12,0" ) @@ -932,7 +937,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 637,0 +uid 981,0 va (VaSet font "Courier New,8,0" ) @@ -947,15 +952,15 @@ n "pos2" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 41,0 +suid 77,0 ) ) ) *75 (CptPort -uid 638,0 +uid 982,0 ps "OnEdgeStrategy" shape (Triangle -uid 639,0 +uid 983,0 ro 90 va (VaSet vasetType 1 @@ -964,11 +969,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 640,0 +uid 984,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 641,0 +uid 985,0 va (VaSet font "Verdana,12,0" ) @@ -979,7 +984,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 642,0 +uid 986,0 va (VaSet font "Courier New,8,0" ) @@ -994,15 +999,15 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 42,0 +suid 78,0 ) ) ) *76 (CptPort -uid 643,0 +uid 987,0 ps "OnEdgeStrategy" shape (Triangle -uid 644,0 +uid 988,0 ro 90 va (VaSet vasetType 1 @@ -1011,11 +1016,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 645,0 +uid 989,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 646,0 +uid 990,0 va (VaSet font "Verdana,12,0" ) @@ -1026,30 +1031,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 647,0 +uid 991,0 va (VaSet font "Courier New,8,0" ) xt "44000,2400,76000,3200" -st "Position : IN std_uLogic_vector (15 DOWNTO 0) ; +st "Position : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "Position" -t "std_uLogic_vector" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 -suid 43,0 +suid 79,0 ) ) ) *77 (CptPort -uid 648,0 +uid 992,0 ps "OnEdgeStrategy" shape (Triangle -uid 649,0 +uid 993,0 ro 180 va (VaSet vasetType 1 @@ -1058,11 +1063,11 @@ fg "0,65535,0" xt "21625,5250,22375,6000" ) tg (CPTG -uid 650,0 +uid 994,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 651,0 +uid 995,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1075,7 +1080,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 652,0 +uid 996,0 va (VaSet font "Courier New,8,0" ) @@ -1089,15 +1094,15 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 44,0 +suid 80,0 ) ) ) *78 (CptPort -uid 653,0 +uid 997,0 ps "OnEdgeStrategy" shape (Triangle -uid 654,0 +uid 998,0 ro 180 va (VaSet vasetType 1 @@ -1106,11 +1111,11 @@ fg "0,65535,0" xt "19625,13000,20375,13750" ) tg (CPTG -uid 655,0 +uid 999,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 656,0 +uid 1000,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1122,7 +1127,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 657,0 +uid 1001,0 va (VaSet font "Courier New,8,0" ) @@ -1137,7 +1142,7 @@ decl (Decl n "skip_acceleration" t "std_ulogic" o 29 -suid 45,0 +suid 81,0 ) ) ) @@ -1567,10 +1572,12 @@ blo "0,1000" uid 50,0 va (VaSet ) -xt "0,1200,17500,4800" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; - USE ieee.numeric_std.all;" + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" tm "PackageList" ) ] @@ -1848,6 +1855,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 675,0 +lastUid 1019,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/selector_cruse/interface b/Cursor/hds/selector_cruse/interface index 4161877..83b5944 100644 --- a/Cursor/hds/selector_cruse/interface +++ b/Cursor/hds/selector_cruse/interface @@ -11,9 +11,14 @@ unitName "std_logic_1164" library "ieee" unitName "numeric_std" ) +(DmPackageRef +library "gates" +unitName "gates" +) ] libraryRefs [ "ieee" +"gates" ] ) version "27.1" @@ -21,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 32,0 +suid 64,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -68,10 +73,10 @@ n "button" t "std_uLogic_vector" b "(3 DOWNTO 0)" o 2 -suid 25,0 +suid 57,0 ) ) -uid 523,0 +uid 839,0 ) *15 (LogPort port (LogicalPort @@ -80,10 +85,10 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 26,0 +suid 58,0 ) ) -uid 525,0 +uid 841,0 ) *16 (LogPort port (LogicalPort @@ -94,10 +99,10 @@ n "info_cruse" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 17 -suid 27,0 +suid 59,0 ) ) -uid 527,0 +uid 843,0 ) *17 (LogPort port (LogicalPort @@ -107,10 +112,10 @@ n "pos1" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 28,0 +suid 60,0 ) ) -uid 529,0 +uid 845,0 ) *18 (LogPort port (LogicalPort @@ -120,10 +125,10 @@ n "pos2" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 29,0 +suid 61,0 ) ) -uid 531,0 +uid 847,0 ) *19 (LogPort port (LogicalPort @@ -133,23 +138,23 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 30,0 +suid 62,0 ) ) -uid 533,0 +uid 849,0 ) *20 (LogPort port (LogicalPort lang 11 decl (Decl n "Position" -t "std_uLogic_vector" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 -suid 31,0 +suid 63,0 ) ) -uid 535,0 +uid 851,0 ) *21 (LogPort port (LogicalPort @@ -158,10 +163,10 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 32,0 +suid 64,0 ) ) -uid 537,0 +uid 853,0 ) ] ) @@ -217,49 +222,49 @@ uid 127,0 litem &14 pos 0 dimension 20 -uid 524,0 +uid 840,0 ) *28 (MRCItem litem &15 pos 1 dimension 20 -uid 526,0 +uid 842,0 ) *29 (MRCItem litem &16 pos 2 dimension 20 -uid 528,0 +uid 844,0 ) *30 (MRCItem litem &17 pos 3 dimension 20 -uid 530,0 +uid 846,0 ) *31 (MRCItem litem &18 pos 4 dimension 20 -uid 532,0 +uid 848,0 ) *32 (MRCItem litem &19 pos 5 dimension 20 -uid 534,0 +uid 850,0 ) *33 (MRCItem litem &20 pos 6 dimension 20 -uid 536,0 +uid 852,0 ) *34 (MRCItem litem &21 pos 7 dimension 20 -uid 538,0 +uid 854,0 ) ] ) @@ -534,19 +539,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\s ) (vvPair variable "date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "17" +value "21" ) (vvPair variable "entity_name" @@ -574,7 +579,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -586,7 +591,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:37:09" +value "14:07:25" ) (vvPair variable "group" @@ -658,7 +663,7 @@ value "interface" ) (vvPair variable "time" -value "09:37:09" +value "14:07:25" ) (vvPair variable "unit" @@ -693,10 +698,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *68 (CptPort -uid 483,0 +uid 799,0 ps "OnEdgeStrategy" shape (Triangle -uid 484,0 +uid 800,0 ro 180 va (VaSet vasetType 1 @@ -705,11 +710,11 @@ fg "0,65535,0" xt "16625,5250,17375,6000" ) tg (CPTG -uid 485,0 +uid 801,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 486,0 +uid 802,0 ro 270 va (VaSet font "Verdana,12,0" @@ -722,7 +727,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 487,0 +uid 803,0 va (VaSet font "Courier New,8,0" ) @@ -737,15 +742,15 @@ n "button" t "std_uLogic_vector" b "(3 DOWNTO 0)" o 2 -suid 25,0 +suid 57,0 ) ) ) *69 (CptPort -uid 488,0 +uid 804,0 ps "OnEdgeStrategy" shape (Triangle -uid 489,0 +uid 805,0 ro 180 va (VaSet vasetType 1 @@ -754,11 +759,11 @@ fg "0,65535,0" xt "19625,5250,20375,6000" ) tg (CPTG -uid 490,0 +uid 806,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 491,0 +uid 807,0 ro 270 va (VaSet font "Verdana,12,0" @@ -771,7 +776,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 492,0 +uid 808,0 va (VaSet font "Courier New,8,0" ) @@ -785,15 +790,15 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 26,0 +suid 58,0 ) ) ) *70 (CptPort -uid 493,0 +uid 809,0 ps "OnEdgeStrategy" shape (Triangle -uid 494,0 +uid 810,0 ro 180 va (VaSet vasetType 1 @@ -802,11 +807,11 @@ fg "0,65535,0" xt "16625,13000,17375,13750" ) tg (CPTG -uid 495,0 +uid 811,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 496,0 +uid 812,0 ro 270 va (VaSet font "Verdana,12,0" @@ -818,7 +823,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 497,0 +uid 813,0 va (VaSet font "Courier New,8,0" ) @@ -834,15 +839,15 @@ n "info_cruse" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 17 -suid 27,0 +suid 59,0 ) ) ) *71 (CptPort -uid 498,0 +uid 814,0 ps "OnEdgeStrategy" shape (Triangle -uid 499,0 +uid 815,0 ro 90 va (VaSet vasetType 1 @@ -851,11 +856,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 500,0 +uid 816,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 501,0 +uid 817,0 va (VaSet font "Verdana,12,0" ) @@ -866,7 +871,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 502,0 +uid 818,0 va (VaSet font "Courier New,8,0" ) @@ -881,15 +886,15 @@ n "pos1" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 28,0 +suid 60,0 ) ) ) *72 (CptPort -uid 503,0 +uid 819,0 ps "OnEdgeStrategy" shape (Triangle -uid 504,0 +uid 820,0 ro 90 va (VaSet vasetType 1 @@ -898,11 +903,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 505,0 +uid 821,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 506,0 +uid 822,0 va (VaSet font "Verdana,12,0" ) @@ -913,7 +918,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 507,0 +uid 823,0 va (VaSet font "Courier New,8,0" ) @@ -928,15 +933,15 @@ n "pos2" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 29,0 +suid 61,0 ) ) ) *73 (CptPort -uid 508,0 +uid 824,0 ps "OnEdgeStrategy" shape (Triangle -uid 509,0 +uid 825,0 ro 90 va (VaSet vasetType 1 @@ -945,11 +950,11 @@ fg "0,65535,0" xt "14250,8625,15000,9375" ) tg (CPTG -uid 510,0 +uid 826,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 511,0 +uid 827,0 va (VaSet font "Verdana,12,0" ) @@ -960,7 +965,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 512,0 +uid 828,0 va (VaSet font "Courier New,8,0" ) @@ -975,15 +980,15 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 30,0 +suid 62,0 ) ) ) *74 (CptPort -uid 513,0 +uid 829,0 ps "OnEdgeStrategy" shape (Triangle -uid 514,0 +uid 830,0 ro 90 va (VaSet vasetType 1 @@ -992,11 +997,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 515,0 +uid 831,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 516,0 +uid 832,0 va (VaSet font "Verdana,12,0" ) @@ -1007,30 +1012,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 517,0 +uid 833,0 va (VaSet font "Courier New,8,0" ) xt "44000,2400,72500,3200" -st "Position : IN std_uLogic_vector (15 DOWNTO 0) ; +st "Position : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "Position" -t "std_uLogic_vector" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 -suid 31,0 +suid 63,0 ) ) ) *75 (CptPort -uid 518,0 +uid 834,0 ps "OnEdgeStrategy" shape (Triangle -uid 519,0 +uid 835,0 ro 180 va (VaSet vasetType 1 @@ -1039,11 +1044,11 @@ fg "0,65535,0" xt "21625,5250,22375,6000" ) tg (CPTG -uid 520,0 +uid 836,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 521,0 +uid 837,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1056,7 +1061,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 522,0 +uid 838,0 va (VaSet font "Courier New,8,0" ) @@ -1070,7 +1075,7 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 32,0 +suid 64,0 ) ) ) @@ -1500,10 +1505,12 @@ blo "0,1000" uid 50,0 va (VaSet ) -xt "0,1200,17500,4800" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; - USE ieee.numeric_std.all;" + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" tm "PackageList" ) ] @@ -1781,6 +1788,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 538,0 +lastUid 854,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/selector_deceleration/interface b/Cursor/hds/selector_deceleration/interface index 1996c52..fd1a829 100644 --- a/Cursor/hds/selector_deceleration/interface +++ b/Cursor/hds/selector_deceleration/interface @@ -11,9 +11,14 @@ unitName "std_logic_1164" library "ieee" unitName "numeric_std" ) +(DmPackageRef +library "gates" +unitName "gates" +) ] libraryRefs [ "ieee" +"gates" ] ) version "27.1" @@ -21,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 35,0 +suid 71,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -68,10 +73,10 @@ n "button" t "std_uLogic_vector" b "(3 DOWNTO 0)" o 2 -suid 27,0 +suid 63,0 ) ) -uid 496,0 +uid 840,0 ) *15 (LogPort port (LogicalPort @@ -80,10 +85,10 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 28,0 +suid 64,0 ) ) -uid 498,0 +uid 842,0 ) *16 (LogPort port (LogicalPort @@ -94,10 +99,10 @@ n "info_deceleration" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 18 -suid 29,0 +suid 65,0 ) ) -uid 500,0 +uid 844,0 ) *17 (LogPort port (LogicalPort @@ -107,10 +112,10 @@ n "pos1" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 30,0 +suid 66,0 ) ) -uid 502,0 +uid 846,0 ) *18 (LogPort port (LogicalPort @@ -120,10 +125,10 @@ n "pos2" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 31,0 +suid 67,0 ) ) -uid 504,0 +uid 848,0 ) *19 (LogPort port (LogicalPort @@ -133,23 +138,23 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 32,0 +suid 68,0 ) ) -uid 506,0 +uid 850,0 ) *20 (LogPort port (LogicalPort lang 11 decl (Decl n "Position" -t "std_uLogic_vector" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 -suid 33,0 +suid 69,0 ) ) -uid 508,0 +uid 852,0 ) *21 (LogPort port (LogicalPort @@ -158,10 +163,10 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 34,0 +suid 70,0 ) ) -uid 510,0 +uid 854,0 ) *22 (LogPort port (LogicalPort @@ -171,10 +176,10 @@ decl (Decl n "skip_deceleration" t "std_uLogic" o 30 -suid 35,0 +suid 71,0 ) ) -uid 512,0 +uid 856,0 ) ] ) @@ -230,55 +235,55 @@ uid 127,0 litem &14 pos 0 dimension 20 -uid 497,0 +uid 841,0 ) *29 (MRCItem litem &15 pos 1 dimension 20 -uid 499,0 +uid 843,0 ) *30 (MRCItem litem &16 pos 2 dimension 20 -uid 501,0 +uid 845,0 ) *31 (MRCItem litem &17 pos 3 dimension 20 -uid 503,0 +uid 847,0 ) *32 (MRCItem litem &18 pos 4 dimension 20 -uid 505,0 +uid 849,0 ) *33 (MRCItem litem &19 pos 5 dimension 20 -uid 507,0 +uid 851,0 ) *34 (MRCItem litem &20 pos 6 dimension 20 -uid 509,0 +uid 853,0 ) *35 (MRCItem litem &21 pos 7 dimension 20 -uid 511,0 +uid 855,0 ) *36 (MRCItem litem &22 pos 8 dimension 20 -uid 513,0 +uid 857,0 ) ] ) @@ -553,19 +558,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\s ) (vvPair variable "date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "17" +value "21" ) (vvPair variable "entity_name" @@ -593,7 +598,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -605,7 +610,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:37:09" +value "14:07:25" ) (vvPair variable "group" @@ -677,7 +682,7 @@ value "interface" ) (vvPair variable "time" -value "09:37:09" +value "14:07:25" ) (vvPair variable "unit" @@ -712,10 +717,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *70 (CptPort -uid 451,0 +uid 795,0 ps "OnEdgeStrategy" shape (Triangle -uid 452,0 +uid 796,0 ro 180 va (VaSet vasetType 1 @@ -724,11 +729,11 @@ fg "0,65535,0" xt "15625,5250,16375,6000" ) tg (CPTG -uid 453,0 +uid 797,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 454,0 +uid 798,0 ro 270 va (VaSet font "Verdana,12,0" @@ -741,7 +746,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 455,0 +uid 799,0 va (VaSet font "Courier New,8,0" ) @@ -756,15 +761,15 @@ n "button" t "std_uLogic_vector" b "(3 DOWNTO 0)" o 2 -suid 27,0 +suid 63,0 ) ) ) *71 (CptPort -uid 456,0 +uid 800,0 ps "OnEdgeStrategy" shape (Triangle -uid 457,0 +uid 801,0 ro 180 va (VaSet vasetType 1 @@ -773,11 +778,11 @@ fg "0,65535,0" xt "18625,5250,19375,6000" ) tg (CPTG -uid 458,0 +uid 802,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 459,0 +uid 803,0 ro 270 va (VaSet font "Verdana,12,0" @@ -790,7 +795,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 460,0 +uid 804,0 va (VaSet font "Courier New,8,0" ) @@ -804,15 +809,15 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 28,0 +suid 64,0 ) ) ) *72 (CptPort -uid 461,0 +uid 805,0 ps "OnEdgeStrategy" shape (Triangle -uid 462,0 +uid 806,0 ro 180 va (VaSet vasetType 1 @@ -821,11 +826,11 @@ fg "0,65535,0" xt "16625,13000,17375,13750" ) tg (CPTG -uid 463,0 +uid 807,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 464,0 +uid 808,0 ro 270 va (VaSet font "Verdana,12,0" @@ -837,7 +842,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 465,0 +uid 809,0 va (VaSet font "Courier New,8,0" ) @@ -853,15 +858,15 @@ n "info_deceleration" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 18 -suid 29,0 +suid 65,0 ) ) ) *73 (CptPort -uid 466,0 +uid 810,0 ps "OnEdgeStrategy" shape (Triangle -uid 467,0 +uid 811,0 ro 90 va (VaSet vasetType 1 @@ -870,11 +875,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 468,0 +uid 812,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 469,0 +uid 813,0 va (VaSet font "Verdana,12,0" ) @@ -885,7 +890,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 470,0 +uid 814,0 va (VaSet font "Courier New,8,0" ) @@ -900,15 +905,15 @@ n "pos1" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 30,0 +suid 66,0 ) ) ) *74 (CptPort -uid 471,0 +uid 815,0 ps "OnEdgeStrategy" shape (Triangle -uid 472,0 +uid 816,0 ro 90 va (VaSet vasetType 1 @@ -917,11 +922,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 473,0 +uid 817,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 474,0 +uid 818,0 va (VaSet font "Verdana,12,0" ) @@ -932,7 +937,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 475,0 +uid 819,0 va (VaSet font "Courier New,8,0" ) @@ -947,15 +952,15 @@ n "pos2" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 31,0 +suid 67,0 ) ) ) *75 (CptPort -uid 476,0 +uid 820,0 ps "OnEdgeStrategy" shape (Triangle -uid 477,0 +uid 821,0 ro 90 va (VaSet vasetType 1 @@ -964,11 +969,11 @@ fg "0,65535,0" xt "14250,8625,15000,9375" ) tg (CPTG -uid 478,0 +uid 822,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 479,0 +uid 823,0 va (VaSet font "Verdana,12,0" ) @@ -979,7 +984,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 480,0 +uid 824,0 va (VaSet font "Courier New,8,0" ) @@ -994,15 +999,15 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 32,0 +suid 68,0 ) ) ) *76 (CptPort -uid 481,0 +uid 825,0 ps "OnEdgeStrategy" shape (Triangle -uid 482,0 +uid 826,0 ro 90 va (VaSet vasetType 1 @@ -1011,11 +1016,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 483,0 +uid 827,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 484,0 +uid 828,0 va (VaSet font "Verdana,12,0" ) @@ -1026,30 +1031,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 485,0 +uid 829,0 va (VaSet font "Courier New,8,0" ) xt "44000,2400,76000,3200" -st "Position : IN std_uLogic_vector (15 DOWNTO 0) ; +st "Position : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "Position" -t "std_uLogic_vector" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 -suid 33,0 +suid 69,0 ) ) ) *77 (CptPort -uid 486,0 +uid 830,0 ps "OnEdgeStrategy" shape (Triangle -uid 487,0 +uid 831,0 ro 180 va (VaSet vasetType 1 @@ -1058,11 +1063,11 @@ fg "0,65535,0" xt "20625,5250,21375,6000" ) tg (CPTG -uid 488,0 +uid 832,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 489,0 +uid 833,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1075,7 +1080,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 490,0 +uid 834,0 va (VaSet font "Courier New,8,0" ) @@ -1089,15 +1094,15 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 34,0 +suid 70,0 ) ) ) *78 (CptPort -uid 491,0 +uid 835,0 ps "OnEdgeStrategy" shape (Triangle -uid 492,0 +uid 836,0 ro 180 va (VaSet vasetType 1 @@ -1106,11 +1111,11 @@ fg "0,65535,0" xt "19625,13000,20375,13750" ) tg (CPTG -uid 493,0 +uid 837,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 494,0 +uid 838,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1122,7 +1127,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 495,0 +uid 839,0 va (VaSet font "Courier New,8,0" ) @@ -1137,7 +1142,7 @@ decl (Decl n "skip_deceleration" t "std_uLogic" o 30 -suid 35,0 +suid 71,0 ) ) ) @@ -1567,10 +1572,12 @@ blo "0,1000" uid 50,0 va (VaSet ) -xt "0,1200,17500,4800" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; - USE ieee.numeric_std.all;" + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" tm "PackageList" ) ] @@ -1848,6 +1855,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 513,0 +lastUid 857,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/set_position/interface b/Cursor/hds/set_position/interface index 05a25f6..9cca13d 100644 --- a/Cursor/hds/set_position/interface +++ b/Cursor/hds/set_position/interface @@ -11,9 +11,14 @@ unitName "std_logic_1164" library "ieee" unitName "numeric_std" ) +(DmPackageRef +library "gates" +unitName "gates" +) ] libraryRefs [ "ieee" +"gates" ] ) version "27.1" @@ -21,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 25,0 +suid 40,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -67,10 +72,10 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 21,0 +suid 36,0 ) ) -uid 434,0 +uid 608,0 ) *15 (LogPort port (LogicalPort @@ -81,10 +86,10 @@ n "pos1" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 22,0 +suid 37,0 ) ) -uid 436,0 +uid 610,0 ) *16 (LogPort port (LogicalPort @@ -95,10 +100,10 @@ n "pos2" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 23,0 +suid 38,0 ) ) -uid 438,0 +uid 612,0 ) *17 (LogPort port (LogicalPort @@ -109,10 +114,10 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 24,0 +suid 39,0 ) ) -uid 440,0 +uid 614,0 ) *18 (LogPort port (LogicalPort @@ -121,10 +126,10 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 25,0 +suid 40,0 ) ) -uid 442,0 +uid 616,0 ) ] ) @@ -180,31 +185,31 @@ uid 92,0 litem &14 pos 0 dimension 20 -uid 435,0 +uid 609,0 ) *25 (MRCItem litem &15 pos 1 dimension 20 -uid 437,0 +uid 611,0 ) *26 (MRCItem litem &16 pos 2 dimension 20 -uid 439,0 +uid 613,0 ) *27 (MRCItem litem &17 pos 3 dimension 20 -uid 441,0 +uid 615,0 ) *28 (MRCItem litem &18 pos 4 dimension 20 -uid 443,0 +uid 617,0 ) ] ) @@ -479,19 +484,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\s ) (vvPair variable "date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "17" +value "21" ) (vvPair variable "entity_name" @@ -519,7 +524,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -531,7 +536,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:37:09" +value "14:07:25" ) (vvPair variable "group" @@ -603,7 +608,7 @@ value "interface" ) (vvPair variable "time" -value "09:37:09" +value "14:07:25" ) (vvPair variable "unit" @@ -638,10 +643,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *62 (CptPort -uid 409,0 +uid 583,0 ps "OnEdgeStrategy" shape (Triangle -uid 410,0 +uid 584,0 ro 90 va (VaSet vasetType 1 @@ -650,11 +655,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 411,0 +uid 585,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 412,0 +uid 586,0 va (VaSet font "Verdana,12,0" ) @@ -665,7 +670,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 413,0 +uid 587,0 va (VaSet font "Courier New,8,0" ) @@ -679,15 +684,15 @@ decl (Decl n "clk" t "std_ulogic" o 3 -suid 21,0 +suid 36,0 ) ) ) *63 (CptPort -uid 414,0 +uid 588,0 ps "OnEdgeStrategy" shape (Triangle -uid 415,0 +uid 589,0 ro 90 va (VaSet vasetType 1 @@ -696,11 +701,11 @@ fg "0,65535,0" xt "23000,11625,23750,12375" ) tg (CPTG -uid 416,0 +uid 590,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 417,0 +uid 591,0 va (VaSet font "Verdana,12,0" ) @@ -712,7 +717,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 418,0 +uid 592,0 va (VaSet font "Courier New,8,0" ) @@ -728,15 +733,15 @@ n "pos1" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 22,0 +suid 37,0 ) ) ) *64 (CptPort -uid 419,0 +uid 593,0 ps "OnEdgeStrategy" shape (Triangle -uid 420,0 +uid 594,0 ro 90 va (VaSet vasetType 1 @@ -745,11 +750,11 @@ fg "0,65535,0" xt "23000,10625,23750,11375" ) tg (CPTG -uid 421,0 +uid 595,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 422,0 +uid 596,0 va (VaSet font "Verdana,12,0" ) @@ -761,7 +766,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 423,0 +uid 597,0 va (VaSet font "Courier New,8,0" ) @@ -777,15 +782,15 @@ n "pos2" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 23,0 +suid 38,0 ) ) ) *65 (CptPort -uid 424,0 +uid 598,0 ps "OnEdgeStrategy" shape (Triangle -uid 425,0 +uid 599,0 ro 90 va (VaSet vasetType 1 @@ -794,11 +799,11 @@ fg "0,65535,0" xt "23000,9625,23750,10375" ) tg (CPTG -uid 426,0 +uid 600,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 427,0 +uid 601,0 va (VaSet font "Verdana,12,0" ) @@ -810,7 +815,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 428,0 +uid 602,0 va (VaSet font "Courier New,8,0" ) @@ -826,15 +831,15 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 24,0 +suid 39,0 ) ) ) *66 (CptPort -uid 429,0 +uid 603,0 ps "OnEdgeStrategy" shape (Triangle -uid 430,0 +uid 604,0 ro 90 va (VaSet vasetType 1 @@ -843,11 +848,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 431,0 +uid 605,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 432,0 +uid 606,0 va (VaSet font "Verdana,12,0" ) @@ -858,7 +863,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 433,0 +uid 607,0 va (VaSet font "Courier New,8,0" ) @@ -872,7 +877,7 @@ decl (Decl n "rst" t "std_ulogic" o 4 -suid 25,0 +suid 40,0 ) ) ) @@ -1302,10 +1307,12 @@ blo "0,1000" uid 50,0 va (VaSet ) -xt "0,1200,17500,4800" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; - USE ieee.numeric_std.all;" + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" tm "PackageList" ) ] @@ -1583,6 +1590,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 443,0 +lastUid 617,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/side_acceleration/fsm.sm b/Cursor/hds/side_acceleration/fsm.sm index a0d5406..949fdd5 100644 --- a/Cursor/hds/side_acceleration/fsm.sm +++ b/Cursor/hds/side_acceleration/fsm.sm @@ -78,19 +78,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\s ) (vvPair variable "date" -value "10.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "10" +value "21" ) (vvPair variable "entity_name" @@ -118,7 +118,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "10.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -130,7 +130,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:18:49" +value "13:20:58" ) (vvPair variable "group" @@ -202,7 +202,7 @@ value "fsm" ) (vvPair variable "time" -value "09:18:49" +value "13:20:58" ) (vvPair variable "unit" @@ -230,6 +230,7 @@ value "21" ) ] ) +uid 203,0 optionalChildren [ *1 (ConcurrentSM uid 1,0 @@ -1709,8 +1710,8 @@ tm "SmCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "-8,-8,1722,1111" -viewArea "8617,-1569,77829,44096" +windowSize "0,0,1715,1119" +viewArea "8600,-1600,78489,43755" cachedDiagramExtent "0,-1000,86600,47000" hasePageBreakOrigin 1 pageBreakOrigin "0,-2000" @@ -2083,11 +2084,12 @@ stateOrder [ name "csm" ) ] -lastUid 202,0 +lastUid 271,0 commonDM (CommonDM ldm (LogicalDM emptyRow *56 (LEmptyRow ) +uid 205,0 optionalChildren [ *57 (RefLabelRowHdr ) @@ -2145,7 +2147,7 @@ port (LogicalPort lang 11 decl (Decl n "Position" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 ) @@ -2157,9 +2159,9 @@ port (LogicalPort lang 11 decl (Decl n "info_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 2 +o 3 ) ) uid 158,0 @@ -2171,7 +2173,7 @@ m 1 decl (Decl n "sideL_acceleration" t "std_ulogic" -o 3 +o 5 ) ) uid 160,0 @@ -2183,12 +2185,11 @@ lang 11 decl (Decl n "clk" t "unsigned" -o 4 +o 2 ) ) uid 162,0 cat 1 -scheme 0 expr "clk'EVENT AND clk = '1'" ) *79 (LeafLogPort @@ -2197,12 +2198,11 @@ lang 11 decl (Decl n "rst" t "unsigned" -o 5 +o 4 ) ) uid 164,0 cat 8 -scheme 0 expr "rst = '0'" ) ] @@ -2210,6 +2210,7 @@ expr "rst = '0'" pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 +uid 224,0 optionalChildren [ *80 (Sheet sheetRow (SheetRow @@ -2233,26 +2234,30 @@ litem &56 pos 5 dimension 20 ) +uid 226,0 optionalChildren [ *82 (MRCItem litem &57 pos 0 dimension 20 +uid 227,0 ) *83 (MRCItem litem &58 pos 1 dimension 23 +uid 228,0 ) *84 (MRCItem litem &59 pos 2 hidden 1 dimension 20 +uid 229,0 ) *85 (MRCItem litem &75 -pos 0 +pos 2 dimension 20 uid 157,0 ) @@ -2264,19 +2269,19 @@ uid 159,0 ) *87 (MRCItem litem &77 -pos 2 +pos 4 dimension 20 uid 161,0 ) *88 (MRCItem litem &78 -pos 3 +pos 0 dimension 20 uid 163,0 ) *89 (MRCItem litem &79 -pos 4 +pos 3 dimension 20 uid 165,0 ) @@ -2289,93 +2294,111 @@ fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) +uid 230,0 optionalChildren [ *90 (MRCItem litem &60 pos 0 dimension 20 +uid 231,0 ) *91 (MRCItem litem &62 pos 1 dimension 50 +uid 232,0 ) *92 (MRCItem litem &63 pos 2 dimension 70 +uid 233,0 ) *93 (MRCItem litem &64 pos 3 dimension 50 +uid 234,0 ) *94 (MRCItem litem &65 pos 4 dimension 80 +uid 235,0 ) *95 (MRCItem litem &66 pos 5 dimension 80 +uid 236,0 ) *96 (MRCItem litem &67 pos 6 dimension 40 +uid 237,0 ) *97 (MRCItem litem &68 pos 7 dimension 100 +uid 238,0 ) *98 (MRCItem litem &69 pos 8 dimension 60 +uid 239,0 ) *99 (MRCItem litem &70 pos 9 dimension 130 +uid 240,0 ) *100 (MRCItem litem &71 pos 10 dimension 56 +uid 241,0 ) *101 (MRCItem litem &72 pos 11 dimension 50 +uid 242,0 ) *102 (MRCItem litem &73 pos 12 dimension 50 +uid 243,0 ) *103 (MRCItem litem &74 pos 13 dimension 80 +uid 244,0 ) ] ) fixedCol 4 fixedRow 2 name "Ports" +uid 225,0 vaOverrides [ ] ) ] ) +uid 204,0 ) cdmCsm &1 genericsCommonDM (CommonDM ldm (LogicalDM emptyRow *104 (LEmptyRow ) +uid 246,0 optionalChildren [ *105 (RefLabelRowHdr ) @@ -2412,6 +2435,7 @@ tm "GenericEolColHdrMgr" pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 +uid 258,0 optionalChildren [ *116 (Sheet sheetRow (SheetRow @@ -2435,22 +2459,26 @@ litem &104 pos 0 dimension 20 ) +uid 260,0 optionalChildren [ *118 (MRCItem litem &105 pos 0 dimension 20 +uid 261,0 ) *119 (MRCItem litem &106 pos 1 dimension 23 +uid 262,0 ) *120 (MRCItem litem &107 pos 2 hidden 1 dimension 20 +uid 263,0 ) ] ) @@ -2461,52 +2489,62 @@ fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) +uid 264,0 optionalChildren [ *121 (MRCItem litem &108 pos 0 dimension 20 +uid 265,0 ) *122 (MRCItem litem &110 pos 1 dimension 50 +uid 266,0 ) *123 (MRCItem litem &111 pos 2 dimension 100 +uid 267,0 ) *124 (MRCItem litem &112 pos 3 dimension 100 +uid 268,0 ) *125 (MRCItem litem &113 pos 4 dimension 50 +uid 269,0 ) *126 (MRCItem litem &114 pos 5 dimension 50 +uid 270,0 ) *127 (MRCItem litem &115 pos 6 dimension 80 +uid 271,0 ) ] ) fixedCol 3 fixedRow 2 name "Ports" +uid 259,0 vaOverrides [ ] ) ] ) +uid 245,0 type 1 ) signalSuffix "_int" @@ -3087,7 +3125,7 @@ va (VaSet vasetType 1 transparent 1 ) -xt "-371,972,-116,1278" +xt "-441,926,-116,1323" ) ] ) @@ -3162,7 +3200,7 @@ va (VaSet vasetType 1 transparent 1 ) -xt "-415,1064,-76,1263" +xt "-425,943,-76,1304" ) (Line sl 0 @@ -3371,6 +3409,6 @@ pts [ ] ) ) -activeModelName "StateMachine" +activeModelName "StateMachine:CDM" LanguageMgr "Vhdl2008LangMgr" ) diff --git a/Cursor/hds/side_acceleration/interface b/Cursor/hds/side_acceleration/interface index 26fbdc2..8b7dc07 100644 --- a/Cursor/hds/side_acceleration/interface +++ b/Cursor/hds/side_acceleration/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 15,0 +suid 30,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -65,50 +65,50 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 2 -suid 11,0 +suid 26,0 ) ) -uid 318,0 +uid 515,0 ) *15 (LogPort port (LogicalPort lang 11 decl (Decl n "info_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 3 -suid 12,0 +suid 27,0 ) ) -uid 320,0 +uid 517,0 ) *16 (LogPort port (LogicalPort lang 11 decl (Decl n "Position" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 -suid 13,0 +suid 28,0 ) ) -uid 322,0 +uid 519,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 14,0 +suid 29,0 ) ) -uid 324,0 +uid 521,0 ) *18 (LogPort port (LogicalPort @@ -118,10 +118,10 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 9 -suid 15,0 +suid 30,0 ) ) -uid 326,0 +uid 523,0 ) ] ) @@ -177,31 +177,31 @@ uid 92,0 litem &14 pos 0 dimension 20 -uid 319,0 +uid 516,0 ) *25 (MRCItem litem &15 pos 1 dimension 20 -uid 321,0 +uid 518,0 ) *26 (MRCItem litem &16 pos 2 dimension 20 -uid 323,0 +uid 520,0 ) *27 (MRCItem litem &17 pos 3 dimension 20 -uid 325,0 +uid 522,0 ) *28 (MRCItem litem &18 pos 4 dimension 20 -uid 327,0 +uid 524,0 ) ] ) @@ -476,7 +476,7 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\s ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -488,7 +488,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -516,7 +516,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -528,7 +528,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:13:45" +value "14:06:44" ) (vvPair variable "group" @@ -600,7 +600,7 @@ value "interface" ) (vvPair variable "time" -value "09:13:45" +value "14:06:44" ) (vvPair variable "unit" @@ -635,10 +635,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *62 (CptPort -uid 293,0 +uid 490,0 ps "OnEdgeStrategy" shape (Triangle -uid 294,0 +uid 491,0 ro 90 va (VaSet vasetType 1 @@ -647,11 +647,11 @@ fg "0,65535,0" xt "14250,13625,15000,14375" ) tg (CPTG -uid 295,0 +uid 492,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 296,0 +uid 493,0 va (VaSet font "Verdana,12,0" ) @@ -662,29 +662,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 297,0 +uid 494,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,65000,4000" -st "clk : IN unsigned ; +xt "44000,3200,66500,4000" +st "clk : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 2 -suid 11,0 +suid 26,0 ) ) ) *63 (CptPort -uid 298,0 +uid 495,0 ps "OnEdgeStrategy" shape (Triangle -uid 299,0 +uid 496,0 ro 90 va (VaSet vasetType 1 @@ -693,11 +693,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 300,0 +uid 497,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 301,0 +uid 498,0 va (VaSet font "Verdana,12,0" ) @@ -708,30 +708,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 302,0 +uid 499,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,72000,4800" -st "info_acceleration : IN unsigned (15 DOWNTO 0) ; +xt "44000,4000,76500,4800" +st "info_acceleration : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "info_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 3 -suid 12,0 +suid 27,0 ) ) ) *64 (CptPort -uid 303,0 +uid 500,0 ps "OnEdgeStrategy" shape (Triangle -uid 304,0 +uid 501,0 ro 90 va (VaSet vasetType 1 @@ -740,11 +740,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 305,0 +uid 502,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 306,0 +uid 503,0 va (VaSet font "Verdana,12,0" ) @@ -755,30 +755,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 307,0 +uid 504,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,72500,3200" -st "Position : IN std_logic (15 DOWNTO 0) ; +xt "44000,2400,76500,3200" +st "Position : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "Position" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 1 -suid 13,0 +suid 28,0 ) ) ) *65 (CptPort -uid 308,0 +uid 505,0 ps "OnEdgeStrategy" shape (Triangle -uid 309,0 +uid 506,0 ro 90 va (VaSet vasetType 1 @@ -787,11 +787,11 @@ fg "0,65535,0" xt "14250,14625,15000,15375" ) tg (CPTG -uid 310,0 +uid 507,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 311,0 +uid 508,0 va (VaSet font "Verdana,12,0" ) @@ -802,29 +802,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 312,0 +uid 509,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,65000,5600" -st "rst : IN unsigned ; +xt "44000,4800,66500,5600" +st "rst : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 14,0 +suid 29,0 ) ) ) *66 (CptPort -uid 313,0 +uid 510,0 ps "OnEdgeStrategy" shape (Triangle -uid 314,0 +uid 511,0 ro 90 va (VaSet vasetType 1 @@ -833,11 +833,11 @@ fg "0,65535,0" xt "23000,10625,23750,11375" ) tg (CPTG -uid 315,0 +uid 512,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 316,0 +uid 513,0 va (VaSet font "Verdana,12,0" ) @@ -849,7 +849,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 317,0 +uid 514,0 va (VaSet font "Courier New,8,0" ) @@ -864,7 +864,7 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 9 -suid 15,0 +suid 30,0 ) ) ) @@ -1575,6 +1575,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 327,0 +lastUid 524,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor_test/hds/cursor_tb/struct.bd b/Cursor_test/hds/cursor_tb/struct.bd index e9e2c55..1a3a967 100644 --- a/Cursor_test/hds/cursor_tb/struct.bd +++ b/Cursor_test/hds/cursor_tb/struct.bd @@ -55,7 +55,7 @@ value "pwmBitNb" (GiElement name "testLineNb" type "positive" -value "testLineNb" +value "0" ) ] mwi 0 @@ -122,19 +122,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\h ) (vvPair variable "date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "ven." +value "mar." ) (vvPair variable "day_long" -value "vendredi" +value "mardi" ) (vvPair variable "dd" -value "17" +value "21" ) (vvPair variable "designName" @@ -166,7 +166,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "17.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -178,7 +178,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "09:37:57" +value "13:58:56" ) (vvPair variable "group" @@ -302,7 +302,7 @@ value "struct" ) (vvPair variable "time" -value "09:37:57" +value "13:58:56" ) (vvPair variable "unit" @@ -347,8 +347,7 @@ va (VaSet isHidden 1 ) xt "-5000,32800,11300,34000" -st "SIGNAL reset : std_ulogic -" +st "SIGNAL reset : std_ulogic" ) ) *2 (Net @@ -365,8 +364,7 @@ va (VaSet isHidden 1 ) xt "-5000,26800,11400,28000" -st "SIGNAL clock : std_ulogic -" +st "SIGNAL clock : std_ulogic" ) ) *3 (Grouping @@ -768,8 +766,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13600,-400" -st "SIGNAL testMode : std_uLogic -" +st "SIGNAL testMode : std_uLogic" ) ) *19 (Net @@ -787,8 +784,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13300,-400" -st "SIGNAL sensor2 : std_uLogic -" +st "SIGNAL sensor2 : std_uLogic" ) ) *20 (Net @@ -806,8 +802,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13300,-400" -st "SIGNAL sensor1 : std_uLogic -" +st "SIGNAL sensor1 : std_uLogic" ) ) *21 (Net @@ -825,8 +820,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13700,-400" -st "SIGNAL motorOn : std_uLogic -" +st "SIGNAL motorOn : std_uLogic" ) ) *22 (Net @@ -844,8 +838,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,12900,-400" -st "SIGNAL side1 : std_uLogic -" +st "SIGNAL side1 : std_uLogic" ) ) *23 (Net @@ -863,8 +856,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,12900,-400" -st "SIGNAL side2 : std_uLogic -" +st "SIGNAL side2 : std_uLogic" ) ) *24 (Net @@ -882,8 +874,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,12900,-400" -st "SIGNAL go2 : std_uLogic -" +st "SIGNAL go2 : std_uLogic" ) ) *25 (Net @@ -901,8 +892,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,12900,-400" -st "SIGNAL go1 : std_uLogic -" +st "SIGNAL go1 : std_uLogic" ) ) *26 (Net @@ -920,8 +910,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,12800,-400" -st "SIGNAL restart : std_uLogic -" +st "SIGNAL restart : std_uLogic" ) ) *27 (Net @@ -939,8 +928,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13400,-400" -st "SIGNAL encoderI : std_uLogic -" +st "SIGNAL encoderI : std_uLogic" ) ) *28 (Net @@ -958,8 +946,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13600,-400" -st "SIGNAL encoderB : std_uLogic -" +st "SIGNAL encoderB : std_uLogic" ) ) *29 (Net @@ -977,8 +964,7 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13600,-400" -st "SIGNAL encoderA : std_uLogic -" +st "SIGNAL encoderA : std_uLogic" ) ) *30 (Net @@ -995,8 +981,7 @@ va (VaSet isHidden 1 ) xt "0,-1400,17300,-200" -st "SIGNAL button4 : std_uLogic -" +st "SIGNAL button4 : std_uLogic" ) ) *31 (SaComponent @@ -1023,9 +1008,9 @@ uid 4590,0 va (VaSet font "Verdana,12,0" ) -xt "40000,62300,43500,63600" +xt "40000,62300,43800,63700" st "clock" -blo "40000,63300" +blo "40000,63500" ) ) thePort (LogicalPort @@ -1058,9 +1043,9 @@ uid 4595,0 va (VaSet font "Verdana,12,0" ) -xt "40000,64300,43500,65600" +xt "40000,64300,44100,65700" st "reset" -blo "40000,65300" +blo "40000,65500" ) ) thePort (LogicalPort @@ -1093,10 +1078,10 @@ uid 4600,0 va (VaSet font "Verdana,12,0" ) -xt "50500,40400,54000,41700" +xt "49800,40400,54000,41800" st "side1" ju 2 -blo "54000,41400" +blo "54000,41600" ) ) thePort (LogicalPort @@ -1130,9 +1115,9 @@ uid 4605,0 va (VaSet font "Verdana,12,0" ) -xt "40000,38300,44900,39600" +xt "40000,38300,45100,39700" st "restart" -blo "40000,39300" +blo "40000,39500" ) ) thePort (LogicalPort @@ -1165,9 +1150,9 @@ uid 4610,0 va (VaSet font "Verdana,12,0" ) -xt "40000,42300,42100,43600" +xt "40000,42300,43200,43700" st "go2" -blo "40000,43300" +blo "40000,43500" ) ) thePort (LogicalPort @@ -1200,10 +1185,10 @@ uid 4615,0 va (VaSet font "Verdana,12,0" ) -xt "49100,46400,54000,47700" +xt "48100,46400,54000,47800" st "sensor1" ju 2 -blo "54000,47400" +blo "54000,47600" ) ) thePort (LogicalPort @@ -1236,9 +1221,9 @@ uid 4620,0 va (VaSet font "Verdana,12,0" ) -xt "40000,60300,45600,61600" +xt "40000,60300,46700,61700" st "testMode" -blo "40000,61300" +blo "40000,61500" ) ) thePort (LogicalPort @@ -1270,10 +1255,10 @@ uid 4625,0 va (VaSet font "Verdana,12,0" ) -xt "44700,36000,49600,37300" +xt "44000,36000,49600,37400" st "testOut" ju 2 -blo "49600,37000" +blo "49600,37200" ) ) thePort (LogicalPort @@ -1281,7 +1266,7 @@ m 1 decl (Decl n "testOut" t "std_uLogic_vector" -b "(1 TO testLineNb)" +b "(1 DOWNTO 0)" o 21 suid 8,0 ) @@ -1308,9 +1293,9 @@ uid 4630,0 va (VaSet font "Verdana,12,0" ) -xt "40000,40300,42100,41600" +xt "40000,40300,43200,41700" st "go1" -blo "40000,41300" +blo "40000,41500" ) ) thePort (LogicalPort @@ -1343,10 +1328,10 @@ uid 4635,0 va (VaSet font "Verdana,12,0" ) -xt "50500,42400,54000,43700" +xt "49800,42400,54000,43800" st "side2" ju 2 -blo "54000,43400" +blo "54000,43600" ) ) thePort (LogicalPort @@ -1380,10 +1365,10 @@ uid 4640,0 va (VaSet font "Verdana,12,0" ) -xt "49100,48300,54000,49600" +xt "48100,48300,54000,49700" st "sensor2" ju 2 -blo "54000,49300" +blo "54000,49500" ) ) thePort (LogicalPort @@ -1416,10 +1401,10 @@ uid 4645,0 va (VaSet font "Verdana,12,0" ) -xt "49100,38400,54000,39700" +xt "47700,38400,54000,39800" st "motorOn" ju 2 -blo "54000,39400" +blo "54000,39600" ) ) thePort (LogicalPort @@ -1453,10 +1438,10 @@ uid 4650,0 va (VaSet font "Verdana,12,0" ) -xt "48400,52400,54000,53700" +xt "47300,52400,54000,53800" st "encoderA" ju 2 -blo "54000,53400" +blo "54000,53600" ) ) thePort (LogicalPort @@ -1489,10 +1474,10 @@ uid 4655,0 va (VaSet font "Verdana,12,0" ) -xt "48400,54400,54000,55700" +xt "47300,54400,54000,55800" st "encoderB" ju 2 -blo "54000,55400" +blo "54000,55600" ) ) thePort (LogicalPort @@ -1525,10 +1510,10 @@ uid 4660,0 va (VaSet font "Verdana,12,0" ) -xt "48400,56400,54000,57700" +xt "47600,56400,54000,57800" st "encoderI" ju 2 -blo "54000,57400" +blo "54000,57600" ) ) thePort (LogicalPort @@ -1561,9 +1546,9 @@ uid 4665,0 va (VaSet font "Verdana,12,0" ) -xt "40000,44300,44900,45600" +xt "40000,44300,45800,45700" st "button4" -blo "40000,45300" +blo "40000,45500" ) ) thePort (LogicalPort @@ -1596,9 +1581,9 @@ uid 4670,0 va (VaSet font "Verdana,12,0" ) -xt "40000,48300,43500,49600" +xt "40000,48300,44900,49700" st "CS1_n" -blo "40000,49300" +blo "40000,49500" ) ) thePort (LogicalPort @@ -1632,9 +1617,9 @@ uid 4675,0 va (VaSet font "Verdana,12,0" ) -xt "40000,50300,42100,51600" +xt "40000,50300,43200,51700" st "SCL" -blo "40000,51300" +blo "40000,51500" ) ) thePort (LogicalPort @@ -1668,9 +1653,9 @@ uid 4680,0 va (VaSet font "Verdana,12,0" ) -xt "40000,52300,41400,53600" +xt "40000,52300,42100,53700" st "SI" -blo "40000,53300" +blo "40000,53500" ) ) thePort (LogicalPort @@ -1704,9 +1689,9 @@ uid 4685,0 va (VaSet font "Verdana,12,0" ) -xt "40000,54300,41400,55600" +xt "40000,54300,42400,55700" st "A0" -blo "40000,55300" +blo "40000,55500" ) ) thePort (LogicalPort @@ -1740,9 +1725,9 @@ uid 4690,0 va (VaSet font "Verdana,12,0" ) -xt "40000,56300,43500,57600" +xt "40000,56300,44700,57700" st "RST_n" -blo "40000,57300" +blo "40000,57500" ) ) thePort (LogicalPort @@ -1820,7 +1805,7 @@ position1 = position1 ( positive ) position2 = position2 ( positive ) slopeShiftBitNb = slopeShiftBitNb ( positive ) pwmBitNb = pwmBitNb ( positive ) -testLineNb = testLineNb ( positive ) " +testLineNb = 0 ( positive ) " ) header "" ) @@ -1853,11 +1838,10 @@ value "pwmBitNb" (GiElement name "testLineNb" type "positive" -value "testLineNb" +value "0" ) ] ) -connectByName 1 portVis (PortSigDisplay sTC 0 sT 1 @@ -2546,8 +2530,8 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "-8,-8,1722,1111" -viewArea "-8600,-4000,158289,106111" +windowSize "0,0,1715,1119" +viewArea "-8938,13684,103005,88288" cachedDiagramExtent "-7000,-1400,102000,93000" pageSetupInfo (PageSetupInfo ptrCmd "\\\\ipp://ipp.hevs.ch\\PREA309_HPLJP3005DN,winspool," @@ -2574,7 +2558,7 @@ boundaryWidth 0 ) hasePageBreakOrigin 1 pageBreakOrigin "-7000,19000" -lastUid 5464,0 +lastUid 5517,0 defaultCommentText (CommentText shape (Rectangle layer 0 diff --git a/Prefs/hds_user/v2019.2/hds_user_prefs b/Prefs/hds_user/v2019.2/hds_user_prefs index aede047..58adb7b 100644 --- a/Prefs/hds_user/v2019.2/hds_user_prefs +++ b/Prefs/hds_user/v2019.2/hds_user_prefs @@ -1268,8 +1268,8 @@ projectPaths [ "C:\\work\\git\\Education\\eln\\projects\\student\\eln_chrono\\Prefs\\hds.hdp" "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\hds.hdp" "C:\\work\\edu\\eln\\project\\eln_cursor\\Prefs\\hds.hdp" -"C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\hds.hdp" "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\hds.hdp" +"C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\hds.hdp" ] libMappingsRootDir "" teamLibMappingsRootDir "" @@ -2554,7 +2554,7 @@ second "" ) (pair first "hierLevel" -second "3" +second "1" ) (pair first "onPulldownMenu" @@ -4181,7 +4181,7 @@ hdsWorkspaceLocation "" relativeLibraryRootDir "" vmLabelLatestDontAskAgain 0 vmLabelWorkspaceDontAskAgain 0 -logWindowGeometry "600x361+920+0" +logWindowGeometry "600x361+976+115" diagramBrowserTabNo 0 showInsertPortHint 0 showContentFirstTime 0 @@ -6205,10 +6205,10 @@ size 180 displayHierarchy 0 xPos 0 yPos 0 -width 1552 -height 936 +width 1730 +height 1119 activeSidePanelTab 2 -activeLibraryTab 3 +activeLibraryTab 2 sidePanelSize 278 showUnixHiddenFiles 0 componentBrowserXpos 158 @@ -6695,6 +6695,7 @@ font "Courier New,8,0" ) order 0 editSignalScope 4 +promptGenOrderIndicator 0 showUpdateWhereUsedPrompt 0 ) ] diff --git a/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk b/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk index 089b6c8..35db922 100644 --- a/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk +++ b/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk @@ -29,7 +29,7 @@ onShortcutBar 1 onPulldownMenu 1 onToolbar 1 enabled 1 -hierDepth 3 +hierDepth 1 subTasks [ (HDSTaskRef TaskName "Generate"