From fd263848228b2f18c6b7202e2d90be21c60aac8a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?R=C3=A9mi=20Heredero?= Date: Tue, 21 Dec 2021 13:55:47 +0100 Subject: [PATCH] =?UTF-8?q?prbl=20r=C3=A9gl=C3=A9=20sur=20boutons=20et=20p?= =?UTF-8?q?ositions?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .../hds/.hdlsidedata/_button_button.vhg._fpf | 1 + .../.hdlsidedata/_compteur_compteurt.vhg._fpf | 1 + .../_compteurupdownrsyncall_struct.vhg._fpf | 1 + .../_convertissor_position_fsm.vhg._fpf | 1 + Cursor/hds/@button/button.bd | 1143 ++++++--- Cursor/hds/@button/interface | 190 +- Cursor/hds/@compteur/compteurt.bd | 687 ++++-- Cursor/hds/@driver/interface | 1705 ++++++++++++++ Cursor/hds/@main/interface | 2034 +++++++++++++++++ Cursor/hds/@position/interface | 12 +- Cursor/hds/@position/position.bd | 18 +- Cursor/hds/button_position/fsm.sm | 62 +- Cursor/hds/button_position/interface | 218 +- .../hds/compteur@up@down@rsync@all/interface | 130 +- .../hds/compteur@up@down@rsync@all/struct.bd | 915 ++++---- Cursor/hds/convertissor_position/fsm.sm | 20 +- Cursor/hds/convertissor_position/interface | 132 +- Cursor/hds/cpt4bit/struct.bd | 93 +- Cursor/hds/cpt4bit/symbol.sb | 40 +- Cursor/hds/cursor@circuit/student@version.bd | 50 +- Cursor/hds/cursor@circuit/symbol.sb | 24 +- Prefs/hds_user/v2019.2/hds_user_prefs | 4 +- .../hds_user/v2019.2/tasks/modelsim_flow.tsk | 2 +- 23 files changed, 6000 insertions(+), 1483 deletions(-) create mode 100644 Cursor/hds/.hdlsidedata/_button_button.vhg._fpf create mode 100644 Cursor/hds/.hdlsidedata/_compteur_compteurt.vhg._fpf create mode 100644 Cursor/hds/.hdlsidedata/_compteurupdownrsyncall_struct.vhg._fpf create mode 100644 Cursor/hds/.hdlsidedata/_convertissor_position_fsm.vhg._fpf create mode 100644 Cursor/hds/@driver/interface create mode 100644 Cursor/hds/@main/interface diff --git a/Cursor/hds/.hdlsidedata/_button_button.vhg._fpf b/Cursor/hds/.hdlsidedata/_button_button.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_button_button.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_compteur_compteurt.vhg._fpf b/Cursor/hds/.hdlsidedata/_compteur_compteurt.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_compteur_compteurt.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_compteurupdownrsyncall_struct.vhg._fpf b/Cursor/hds/.hdlsidedata/_compteurupdownrsyncall_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_compteurupdownrsyncall_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_convertissor_position_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_convertissor_position_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_convertissor_position_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/@button/button.bd b/Cursor/hds/@button/button.bd index 0c5242d..34093b5 100644 --- a/Cursor/hds/@button/button.bd +++ b/Cursor/hds/@button/button.bd @@ -11,6 +11,10 @@ unitName "std_logic_1164" library "ieee" unitName "numeric_std" ) +(DmPackageRef +library "gates" +unitName "gates" +) ] instances [ (Instance @@ -22,9 +26,43 @@ elements [ mwi 0 uid 292,0 ) +(Instance +name "U_1" +duLibraryName "gates" +duName "bufferUlogic" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 529,0 +) +(Instance +name "U_2" +duLibraryName "gates" +duName "bufferUnsigned" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 555,0 +) ] libraryRefs [ "ieee" +"gates" ] ) version "32.1" @@ -79,7 +117,7 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "07.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -91,7 +129,7 @@ value "mardi" ) (vvPair variable "dd" -value "07" +value "21" ) (vvPair variable "entity_name" @@ -119,7 +157,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "07.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -131,7 +169,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "09:34:59" +value "13:55:06" ) (vvPair variable "group" @@ -203,7 +241,7 @@ value "button" ) (vvPair variable "time" -value "09:34:59" +value "13:55:06" ) (vvPair variable "unit" @@ -278,26 +316,7 @@ tm "WireNameMgr" ) ) ) -*2 (Net -uid 21,0 -lang 11 -decl (Decl -n "button" -t "unsigned" -b "(3 DOWNTO 0)" -o 8 -suid 1,0 -) -declText (MLText -uid 22,0 -va (VaSet -font "Courier New,8,0" -) -xt "22000,8000,38500,8800" -st "button : unsigned(3 DOWNTO 0)" -) -) -*3 (PortIoIn +*2 (PortIoIn uid 23,0 shape (CompositeShape uid 24,0 @@ -310,16 +329,16 @@ optionalChildren [ uid 25,0 sl 0 ro 270 -xt "-2000,10625,-500,11375" +xt "-2000,11625,-500,12375" ) (Line uid 26,0 sl 0 ro 270 -xt "-500,11000,0,11000" +xt "-500,12000,0,12000" pts [ -"-500,11000" -"0,11000" +"-500,12000" +"0,12000" ] ) ] @@ -334,20 +353,20 @@ f (Text uid 28,0 va (VaSet ) -xt "-7600,10400,-3000,11600" -st "button4" +xt "-9800,11400,-3000,12600" +st "button4(3)" ju 2 -blo "-3000,11400" +blo "-3000,12400" tm "WireNameMgr" ) ) ) -*4 (Net +*3 (Net uid 35,0 lang 11 decl (Decl n "button4" -t "std_uLogic" +t "std_ulogic" o 1 suid 2,0 ) @@ -356,11 +375,11 @@ uid 36,0 va (VaSet font "Courier New,8,0" ) -xt "22000,2400,33500,3200" -st "button4 : std_uLogic" +xt "18000,1400,29500,2200" +st "button4 : std_ulogic" ) ) -*5 (PortIoIn +*4 (PortIoIn uid 37,0 shape (CompositeShape uid 38,0 @@ -405,7 +424,7 @@ tm "WireNameMgr" ) ) ) -*6 (Net +*5 (Net uid 49,0 decl (Decl n "clock" @@ -418,11 +437,11 @@ uid 50,0 va (VaSet font "Courier New,8,0" ) -xt "22000,3200,33500,4000" +xt "18000,2200,29500,3000" st "clock : std_ulogic" ) ) -*7 (PortIoIn +*6 (PortIoIn uid 51,0 shape (CompositeShape uid 52,0 @@ -467,7 +486,7 @@ tm "WireNameMgr" ) ) ) -*8 (Net +*7 (Net uid 63,0 decl (Decl n "go1" @@ -480,11 +499,11 @@ uid 64,0 va (VaSet font "Courier New,8,0" ) -xt "22000,4000,33500,4800" +xt "18000,3000,29500,3800" st "go1 : std_uLogic" ) ) -*9 (PortIoIn +*8 (PortIoIn uid 65,0 shape (CompositeShape uid 66,0 @@ -529,7 +548,7 @@ tm "WireNameMgr" ) ) ) -*10 (Net +*9 (Net uid 77,0 decl (Decl n "go2" @@ -542,11 +561,11 @@ uid 78,0 va (VaSet font "Courier New,8,0" ) -xt "22000,4800,33500,5600" +xt "18000,3800,29500,4600" st "go2 : std_uLogic" ) ) -*11 (PortIoIn +*10 (PortIoIn uid 79,0 shape (CompositeShape uid 80,0 @@ -591,7 +610,7 @@ tm "WireNameMgr" ) ) ) -*12 (Net +*11 (Net uid 91,0 decl (Decl n "restart" @@ -604,11 +623,11 @@ uid 92,0 va (VaSet font "Courier New,8,0" ) -xt "22000,6400,33500,7200" +xt "18000,5400,29500,6200" st "restart : std_uLogic" ) ) -*13 (PortIoIn +*12 (PortIoIn uid 107,0 shape (CompositeShape uid 108,0 @@ -653,7 +672,7 @@ tm "WireNameMgr" ) ) ) -*14 (Net +*13 (Net uid 119,0 lang 11 decl (Decl @@ -667,14 +686,14 @@ uid 120,0 va (VaSet font "Courier New,8,0" ) -xt "22000,7200,33500,8000" +xt "18000,6200,29500,7000" st "unlock : std_ulogic" ) ) -*15 (Grouping +*14 (Grouping uid 137,0 optionalChildren [ -*16 (CommentText +*15 (CommentText uid 139,0 shape (Rectangle uid 140,0 @@ -706,7 +725,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*17 (CommentText +*16 (CommentText uid 142,0 shape (Rectangle uid 143,0 @@ -738,7 +757,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*18 (CommentText +*17 (CommentText uid 145,0 shape (Rectangle uid 146,0 @@ -770,7 +789,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*19 (CommentText +*18 (CommentText uid 148,0 shape (Rectangle uid 149,0 @@ -802,7 +821,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*20 (CommentText +*19 (CommentText uid 151,0 shape (Rectangle uid 152,0 @@ -833,7 +852,7 @@ visibleWidth 20000 ignorePrefs 1 titleBlock 1 ) -*21 (CommentText +*20 (CommentText uid 154,0 shape (Rectangle uid 155,0 @@ -865,7 +884,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*22 (CommentText +*21 (CommentText uid 157,0 shape (Rectangle uid 158,0 @@ -896,7 +915,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*23 (CommentText +*22 (CommentText uid 160,0 shape (Rectangle uid 161,0 @@ -928,7 +947,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*24 (CommentText +*23 (CommentText uid 163,0 shape (Rectangle uid 164,0 @@ -960,7 +979,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*25 (CommentText +*24 (CommentText uid 166,0 shape (Rectangle uid 167,0 @@ -1005,7 +1024,7 @@ xt "23000,44000,64000,49000" ) oxt "14000,66000,55000,71000" ) -*26 (PortIoIn +*25 (PortIoIn uid 278,0 shape (CompositeShape uid 279,0 @@ -1050,7 +1069,7 @@ tm "WireNameMgr" ) ) ) -*27 (Net +*26 (Net uid 290,0 decl (Decl n "reset" @@ -1063,11 +1082,11 @@ uid 291,0 va (VaSet font "Courier New,8,0" ) -xt "22000,5600,33500,6400" +xt "18000,4600,29500,5400" st "reset : std_ulogic" ) ) -*28 (Blk +*27 (Blk uid 292,0 shape (Rectangle uid 293,0 @@ -1085,7 +1104,7 @@ uid 294,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*29 (Text +*28 (Text uid 295,0 va (VaSet font "Verdana,9,1" @@ -1095,7 +1114,7 @@ st "Cursor" blo "25600,30200" tm "BdLibraryNameMgr" ) -*30 (Text +*29 (Text uid 296,0 va (VaSet font "Verdana,9,1" @@ -1105,7 +1124,7 @@ st "button_position" blo "25600,31400" tm "BlkNameMgr" ) -*31 (Text +*30 (Text uid 297,0 va (VaSet font "Verdana,9,1" @@ -1148,7 +1167,7 @@ ftype 3 ) viewiconposition 0 ) -*32 (Net +*31 (Net uid 312,0 lang 11 decl (Decl @@ -1163,38 +1182,447 @@ uid 313,0 va (VaSet font "Courier New,8,0" ) -xt "22000,10000,42000,10800" +xt "18000,9000,38000,9800" st "SIGNAL dbus0 : unsigned(2 DOWNTO 0)" ) ) -*33 (Wire +*32 (Net +uid 430,0 +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 9 +suid 11,0 +) +declText (MLText +uid 431,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,7000,34500,7800" +st "button : unsigned(3 DOWNTO 0)" +) +) +*33 (SaComponent +uid 529,0 +optionalChildren [ +*34 (CptPort +uid 521,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 522,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "18250,11625,19000,12375" +) +tg (CPTG +uid 523,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 524,0 +va (VaSet +isHidden 1 +) +xt "19000,11700,21300,12900" +st "in1" +blo "19000,12700" +) +s (Text +uid 539,0 +va (VaSet +isHidden 1 +) +xt "19000,12900,19000,12900" +blo "19000,12900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*35 (CptPort +uid 525,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 526,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "24000,11625,24750,12375" +) +tg (CPTG +uid 527,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 528,0 +va (VaSet +isHidden 1 +) +xt "21000,11700,24000,12900" +st "out1" +ju 2 +blo "24000,12700" +) +s (Text +uid 540,0 +va (VaSet +isHidden 1 +) +xt "24000,12900,24000,12900" +ju 2 +blo "24000,12900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 530,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "19000,9000,24000,15000" +) +showPorts 0 +oxt "32000,15000,37000,21000" +ttg (MlTextGroup +uid 531,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 532,0 +va (VaSet +font "Verdana,8,1" +) +xt "19910,14700,23010,15700" +st "gates" +blo "19910,15500" +tm "BdLibraryNameMgr" +) +*37 (Text +uid 533,0 +va (VaSet +font "Verdana,8,1" +) +xt "19910,15700,26810,16700" +st "bufferUlogic" +blo "19910,16500" +tm "CptNameMgr" +) +*38 (Text +uid 534,0 +va (VaSet +font "Verdana,8,1" +) +xt "19910,16700,22410,17700" +st "U_1" +blo "19910,17500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 535,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 536,0 +text (MLText +uid 537,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,17600,33100,18600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 538,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "19250,13250,20750,14750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*39 (SaComponent +uid 555,0 +optionalChildren [ +*40 (CptPort +uid 547,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 548,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,25625,41000,26375" +) +tg (CPTG +uid 549,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 550,0 +va (VaSet +isHidden 1 +) +xt "41000,25700,43300,26900" +st "in1" +blo "41000,26700" +) +s (Text +uid 565,0 +va (VaSet +isHidden 1 +) +xt "41000,26900,41000,26900" +blo "41000,26900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*41 (CptPort +uid 551,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 552,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "46000,25625,46750,26375" +) +tg (CPTG +uid 553,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 554,0 +va (VaSet +isHidden 1 +) +xt "43000,25700,46000,26900" +st "out1" +ju 2 +blo "46000,26700" +) +s (Text +uid 566,0 +va (VaSet +isHidden 1 +) +xt "46000,26900,46000,26900" +ju 2 +blo "46000,26900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 556,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "41000,23000,46000,29000" +) +showPorts 0 +oxt "36000,16000,41000,22000" +ttg (MlTextGroup +uid 557,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 558,0 +va (VaSet +font "Verdana,8,1" +) +xt "41910,28700,45010,29700" +st "gates" +blo "41910,29500" +tm "BdLibraryNameMgr" +) +*43 (Text +uid 559,0 +va (VaSet +font "Verdana,8,1" +) +xt "41910,29700,50210,30700" +st "bufferUnsigned" +blo "41910,30500" +tm "CptNameMgr" +) +*44 (Text +uid 560,0 +va (VaSet +font "Verdana,8,1" +) +xt "41910,30700,44410,31700" +st "U_2" +blo "41910,31500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 561,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 562,0 +text (MLText +uid 563,0 +va (VaSet +font "Verdana,8,0" +) +xt "41000,31800,57500,33800" +st "dataBitNb = 8 ( positive ) +delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 564,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "41250,27250,42750,28750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*45 (Wire uid 15,0 optionalChildren [ -*34 (Ripper -uid 310,0 +*46 (Ripper +uid 545,0 ps "OnConnectorStrategy" shape (Line2D pts [ -"51000,8000" -"50000,9000" +"43000,8000" +"42000,9000" ] -uid 311,0 +uid 546,0 va (VaSet vasetType 3 ) -xt "50000,8000,51000,9000" +xt "42000,8000,43000,9000" ) ) -*35 (BdJunction -uid 334,0 +*47 (Ripper +uid 571,0 ps "OnConnectorStrategy" -shape (Circle -uid 335,0 +shape (Line2D +pts [ +"52000,8000" +"53000,9000" +] +uid 572,0 va (VaSet -vasetType 1 +vasetType 3 +lineWidth 2 ) -xt "49600,7600,50400,8400" -radius 400 +xt "52000,8000,53000,9000" ) ) ] @@ -1204,10 +1632,10 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "50000,8000,60000,8000" +xt "41000,8000,60000,8000" pts [ "60000,8000" -"50000,8000" +"41000,8000" ] ) start &1 @@ -1232,27 +1660,25 @@ blo "59000,7800" tm "WireNameMgr" ) ) -on &2 +on &32 ) -*36 (Wire +*48 (Wire uid 29,0 shape (OrthoPolyLine uid 30,0 va (VaSet vasetType 3 ) -xt "0,9000,50000,11000" +xt "0,12000,19000,12000" pts [ -"0,11000" -"50000,11000" -"50000,9000" +"0,12000" +"19000,12000" ] ) -start &3 +start &2 end &34 sat 32 eat 32 -sl "(3)" stc 0 st 0 sf 1 @@ -1266,15 +1692,15 @@ uid 34,0 va (VaSet isHidden 1 ) -xt "2000,9800,8800,11000" -st "button4(3)" -blo "2000,10800" +xt "2000,10800,6600,12000" +st "button4" +blo "2000,11800" tm "WireNameMgr" ) ) -on &4 +on &3 ) -*37 (Wire +*49 (Wire uid 43,0 shape (OrthoPolyLine uid 44,0 @@ -1287,8 +1713,8 @@ pts [ "24000,32000" ] ) -start &5 -end &28 +start &4 +end &27 sat 32 eat 1 st 0 @@ -1309,9 +1735,9 @@ blo "2000,31800" tm "WireNameMgr" ) ) -on &6 +on &5 ) -*38 (Wire +*50 (Wire uid 57,0 shape (OrthoPolyLine uid 58,0 @@ -1324,8 +1750,8 @@ pts [ "24000,21000" ] ) -start &7 -end &28 +start &6 +end &27 sat 32 eat 1 st 0 @@ -1346,9 +1772,9 @@ blo "2000,20800" tm "WireNameMgr" ) ) -on &8 +on &7 ) -*39 (Wire +*51 (Wire uid 71,0 shape (OrthoPolyLine uid 72,0 @@ -1361,8 +1787,8 @@ pts [ "24000,23000" ] ) -start &9 -end &28 +start &8 +end &27 sat 32 eat 1 st 0 @@ -1383,9 +1809,9 @@ blo "2000,22800" tm "WireNameMgr" ) ) -on &10 +on &9 ) -*40 (Wire +*52 (Wire uid 85,0 shape (OrthoPolyLine uid 86,0 @@ -1398,8 +1824,8 @@ pts [ "24000,19000" ] ) -start &11 -end &28 +start &10 +end &27 sat 32 eat 1 st 0 @@ -1420,9 +1846,9 @@ blo "2000,18800" tm "WireNameMgr" ) ) -on &12 +on &11 ) -*41 (Wire +*53 (Wire uid 113,0 shape (OrthoPolyLine uid 114,0 @@ -1435,8 +1861,8 @@ pts [ "24000,27000" ] ) -start &13 -end &28 +start &12 +end &27 sat 32 eat 1 st 0 @@ -1457,9 +1883,9 @@ blo "2000,26800" tm "WireNameMgr" ) ) -on &14 +on &13 ) -*42 (Wire +*54 (Wire uid 284,0 shape (OrthoPolyLine uid 285,0 @@ -1472,8 +1898,8 @@ pts [ "24000,34000" ] ) -start &26 -end &28 +start &25 +end &27 sat 32 eat 1 st 0 @@ -1494,96 +1920,121 @@ blo "2000,33800" tm "WireNameMgr" ) ) -on &27 +on &26 ) -*43 (Wire -uid 314,0 -optionalChildren [ -*44 (BdJunction -uid 332,0 -ps "OnConnectorStrategy" -shape (Circle -uid 333,0 -va (VaSet -vasetType 1 -) -xt "47600,3600,48400,4400" -radius 400 -) -) -] +*55 (Wire +uid 379,0 shape (OrthoPolyLine -uid 315,0 +uid 380,0 va (VaSet vasetType 3 lineWidth 2 ) -xt "32000,4000,48000,23000" +xt "32000,26000,41000,26000" pts [ -"32000,23000" -"38000,23000" -"38000,4000" -"48000,4000" +"32000,26000" +"41000,26000" ] ) -start &28 +start &27 +end &40 sat 2 -eat 16 +eat 32 sty 1 st 0 sf 1 si 0 tg (WTG -uid 320,0 +uid 385,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text -uid 321,0 +uid 386,0 va (VaSet ) -xt "34000,21800,42100,23000" +xt "33000,24800,41100,26000" st "dbus0 : (2:0)" -blo "34000,22800" +blo "33000,25800" tm "WireNameMgr" ) ) -on &32 +on &31 ) -*45 (Wire -uid 324,0 +*56 (Wire +uid 541,0 shape (OrthoPolyLine -uid 325,0 +uid 542,0 va (VaSet vasetType 3 -lineWidth 2 ) -xt "48000,4000,50000,8000" +xt "24000,9000,42000,12000" pts [ -"48000,4000" -"49000,7000" -"50000,8000" +"24000,12000" +"42000,12000" +"42000,9000" ] ) -start &44 -end &35 +start &35 +end &46 sat 32 eat 32 -sty 1 +sl "(3)" stc 0 st 0 sf 1 si 0 tg (WTG -uid 326,0 +uid 543,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text -uid 327,0 +uid 544,0 va (VaSet ) -xt "45000,5800,48800,7000" -st "dbus0" -blo "45000,6800" +xt "26000,10800,31400,12000" +st "button(3)" +blo "26000,11800" +tm "WireNameMgr" +) +) +on &32 +) +*57 (Wire +uid 567,0 +shape (OrthoPolyLine +uid 568,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "46000,9000,53000,26000" +pts [ +"53000,9000" +"53000,26000" +"46000,26000" +] +) +start &47 +end &41 +sat 32 +eat 32 +sty 1 +sl "(2 DOWNTO 0)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 569,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 570,0 +va (VaSet +) +xt "48000,24800,55200,26000" +st "button(2:0)" +blo "48000,25800" tm "WireNameMgr" ) ) @@ -1601,11 +2052,11 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *46 (PackageList +packageList *58 (PackageList uid 169,0 stg "VerticalLayoutStrategy" textVec [ -*47 (Text +*59 (Text uid 170,0 va (VaSet font "Verdana,9,1" @@ -1614,14 +2065,16 @@ xt "0,0,7600,1200" st "Package List" blo "0,1000" ) -*48 (MLText +*60 (MLText uid 171,0 va (VaSet ) -xt "0,1200,17500,4800" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; - USE ieee.numeric_std.all;" + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" tm "PackageList" ) ] @@ -1630,7 +2083,7 @@ compDirBlock (MlTextGroup uid 172,0 stg "VerticalLayoutStrategy" textVec [ -*49 (Text +*61 (Text uid 173,0 va (VaSet isHidden 1 @@ -1640,7 +2093,7 @@ xt "20000,0,30800,1200" st "Compiler Directives" blo "20000,1000" ) -*50 (Text +*62 (Text uid 174,0 va (VaSet isHidden 1 @@ -1650,7 +2103,7 @@ xt "20000,1200,33100,2400" st "Pre-module directives:" blo "20000,2200" ) -*51 (MLText +*63 (MLText uid 175,0 va (VaSet isHidden 1 @@ -1660,7 +2113,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*52 (Text +*64 (Text uid 176,0 va (VaSet isHidden 1 @@ -1670,7 +2123,7 @@ xt "20000,4800,33700,6000" st "Post-module directives:" blo "20000,5800" ) -*53 (MLText +*65 (MLText uid 177,0 va (VaSet isHidden 1 @@ -1678,7 +2131,7 @@ isHidden 1 xt "20000,0,20000,0" tm "BdCompilerDirectivesTextMgr" ) -*54 (Text +*66 (Text uid 178,0 va (VaSet isHidden 1 @@ -1688,7 +2141,7 @@ xt "20000,6000,33200,7200" st "End-module directives:" blo "20000,7000" ) -*55 (MLText +*67 (MLText uid 179,0 va (VaSet isHidden 1 @@ -1699,12 +2152,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "-8,-8,1544,928" -viewArea "-13626,-1536,67720,48108" -cachedDiagramExtent "-7600,0,67200,49000" +windowSize "0,24,1537,960" +viewArea "-13538,-5060,67620,45036" +cachedDiagramExtent "-9800,-1000,67200,49000" hasePageBreakOrigin 1 -pageBreakOrigin "-8000,0" -lastUid 337,0 +pageBreakOrigin "-81000,0" +lastUid 572,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -1794,7 +2247,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*56 (Text +*68 (Text va (VaSet font "Verdana,9,1" ) @@ -1803,7 +2256,7 @@ st "" blo "1300,4200" tm "BdLibraryNameMgr" ) -*57 (Text +*69 (Text va (VaSet font "Verdana,9,1" ) @@ -1812,7 +2265,7 @@ st "" blo "1300,5400" tm "BlkNameMgr" ) -*58 (Text +*70 (Text va (VaSet font "Verdana,9,1" ) @@ -1863,7 +2316,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*59 (Text +*71 (Text va (VaSet font "Verdana,9,1" ) @@ -1871,7 +2324,7 @@ xt "-350,3200,3750,4400" st "Library" blo "-350,4200" ) -*60 (Text +*72 (Text va (VaSet font "Verdana,9,1" ) @@ -1879,7 +2332,7 @@ xt "-350,4400,8350,5600" st "MWComponent" blo "-350,5400" ) -*61 (Text +*73 (Text va (VaSet font "Verdana,9,1" ) @@ -1928,7 +2381,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*62 (Text +*74 (Text va (VaSet font "Verdana,9,1" ) @@ -1937,7 +2390,7 @@ st "Library" blo "0,4200" tm "BdLibraryNameMgr" ) -*63 (Text +*75 (Text va (VaSet font "Verdana,9,1" ) @@ -1946,7 +2399,7 @@ st "SaComponent" blo "0,5400" tm "CptNameMgr" ) -*64 (Text +*76 (Text va (VaSet font "Verdana,9,1" ) @@ -2000,7 +2453,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*65 (Text +*77 (Text va (VaSet font "Verdana,9,1" ) @@ -2008,7 +2461,7 @@ xt "-500,3200,3600,4400" st "Library" blo "-500,4200" ) -*66 (Text +*78 (Text va (VaSet font "Verdana,9,1" ) @@ -2016,7 +2469,7 @@ xt "-500,4400,8500,5600" st "VhdlComponent" blo "-500,5400" ) -*67 (Text +*79 (Text va (VaSet font "Verdana,9,1" ) @@ -2061,7 +2514,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*68 (Text +*80 (Text va (VaSet font "Verdana,9,1" ) @@ -2069,7 +2522,7 @@ xt "-1150,3200,2950,4400" st "Library" blo "-1150,4200" ) -*69 (Text +*81 (Text va (VaSet font "Verdana,9,1" ) @@ -2077,7 +2530,7 @@ xt "-1150,4400,9150,5600" st "VerilogComponent" blo "-1150,5400" ) -*70 (Text +*82 (Text va (VaSet font "Verdana,9,1" ) @@ -2118,7 +2571,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*71 (Text +*83 (Text va (VaSet font "Verdana,9,1" ) @@ -2127,7 +2580,7 @@ st "eb1" blo "2800,4800" tm "HdlTextNameMgr" ) -*72 (Text +*84 (Text va (VaSet font "Verdana,9,1" ) @@ -2530,7 +2983,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*73 (Text +*85 (Text va (VaSet font "Verdana,9,1" ) @@ -2538,7 +2991,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*74 (MLText +*86 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -2590,7 +3043,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*75 (Text +*87 (Text va (VaSet font "Verdana,9,1" ) @@ -2598,7 +3051,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*76 (MLText +*88 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -2681,18 +3134,18 @@ uid 2,0 va (VaSet font "Verdana,9,1" ) -xt "20000,0,27400,1200" +xt "16000,-1000,23400,200" st "Declarations" -blo "20000,1000" +blo "16000,0" ) portLabel (Text uid 3,0 va (VaSet font "Verdana,9,1" ) -xt "20000,1200,23700,2400" +xt "16000,200,19700,1400" st "Ports:" -blo "20000,2200" +blo "16000,1200" ) preUserLabel (Text uid 4,0 @@ -2700,9 +3153,9 @@ va (VaSet isHidden 1 font "Verdana,9,1" ) -xt "20000,0,25200,1200" +xt "16000,-1000,21200,200" st "Pre User:" -blo "20000,1000" +blo "16000,0" ) preUserText (MLText uid 5,0 @@ -2710,7 +3163,7 @@ va (VaSet isHidden 1 font "Courier New,8,0" ) -xt "20000,0,20000,0" +xt "16000,-1000,16000,-1000" tm "BdDeclarativeTextMgr" ) diagSignalLabel (Text @@ -2718,9 +3171,9 @@ uid 6,0 va (VaSet font "Verdana,9,1" ) -xt "20000,8800,29500,10000" +xt "16000,7800,25500,9000" st "Diagram Signals:" -blo "20000,9800" +blo "16000,8800" ) postUserLabel (Text uid 7,0 @@ -2728,9 +3181,9 @@ va (VaSet isHidden 1 font "Verdana,9,1" ) -xt "20000,0,26400,1200" +xt "16000,-1000,22400,200" st "Post User:" -blo "20000,1000" +blo "16000,0" ) postUserText (MLText uid 8,0 @@ -2738,64 +3191,64 @@ va (VaSet isHidden 1 font "Courier New,8,0" ) -xt "20000,0,20000,0" +xt "16000,-1000,16000,-1000" tm "BdDeclarativeTextMgr" ) ) commonDM (CommonDM ldm (LogicalDM -suid 10,0 +suid 11,0 usingSuid 1 -emptyRow *77 (LEmptyRow +emptyRow *89 (LEmptyRow ) uid 182,0 optionalChildren [ -*78 (RefLabelRowHdr +*90 (RefLabelRowHdr ) -*79 (TitleRowHdr +*91 (TitleRowHdr ) -*80 (FilterRowHdr +*92 (FilterRowHdr ) -*81 (RefLabelColHdr +*93 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*82 (RowExpandColHdr +*94 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*83 (GroupColHdr +*95 (GroupColHdr tm "GroupColHdrMgr" ) -*84 (NameColHdr +*96 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*85 (ModeColHdr +*97 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*86 (TypeColHdr +*98 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*87 (BoundsColHdr +*99 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*88 (InitColHdr +*100 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*89 (EolColHdr +*101 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*90 (LeafLogPort +*102 (LeafLogPort port (LogicalPort lang 11 decl (Decl n "button4" -t "std_uLogic" +t "std_ulogic" o 1 suid 2,0 ) ) uid 121,0 ) -*91 (LeafLogPort +*103 (LeafLogPort port (LogicalPort decl (Decl n "go1" @@ -2806,7 +3259,7 @@ suid 4,0 ) uid 123,0 ) -*92 (LeafLogPort +*104 (LeafLogPort port (LogicalPort decl (Decl n "go2" @@ -2817,7 +3270,7 @@ suid 5,0 ) uid 125,0 ) -*93 (LeafLogPort +*105 (LeafLogPort port (LogicalPort decl (Decl n "restart" @@ -2828,7 +3281,7 @@ suid 6,0 ) uid 127,0 ) -*94 (LeafLogPort +*106 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -2840,21 +3293,7 @@ suid 8,0 ) uid 129,0 ) -*95 (LeafLogPort -port (LogicalPort -lang 11 -m 1 -decl (Decl -n "button" -t "unsigned" -b "(3 DOWNTO 0)" -o 8 -suid 1,0 -) -) -uid 131,0 -) -*96 (LeafLogPort +*107 (LeafLogPort port (LogicalPort decl (Decl n "clock" @@ -2865,7 +3304,7 @@ suid 3,0 ) uid 133,0 ) -*97 (LeafLogPort +*108 (LeafLogPort port (LogicalPort decl (Decl n "reset" @@ -2876,7 +3315,7 @@ suid 9,0 ) uid 277,0 ) -*98 (LeafLogPort +*109 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -2890,6 +3329,20 @@ suid 10,0 ) uid 336,0 ) +*110 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 9 +suid 11,0 +) +) +uid 442,0 +) ] ) pdm (PhysicalDM @@ -2897,7 +3350,7 @@ displayShortBounds 1 editShortBounds 1 uid 195,0 optionalChildren [ -*99 (Sheet +*111 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -2914,86 +3367,86 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *100 (MRCItem -litem &77 +emptyMRCItem *112 (MRCItem +litem &89 pos 9 dimension 20 ) uid 197,0 optionalChildren [ -*101 (MRCItem -litem &78 +*113 (MRCItem +litem &90 pos 0 dimension 20 uid 198,0 ) -*102 (MRCItem -litem &79 +*114 (MRCItem +litem &91 pos 1 dimension 23 uid 199,0 ) -*103 (MRCItem -litem &80 +*115 (MRCItem +litem &92 pos 2 hidden 1 dimension 20 uid 200,0 ) -*104 (MRCItem -litem &90 -pos 1 +*116 (MRCItem +litem &102 +pos 0 dimension 20 uid 122,0 ) -*105 (MRCItem -litem &91 -pos 3 +*117 (MRCItem +litem &103 +pos 2 dimension 20 uid 124,0 ) -*106 (MRCItem -litem &92 -pos 4 +*118 (MRCItem +litem &104 +pos 3 dimension 20 uid 126,0 ) -*107 (MRCItem -litem &93 -pos 6 +*119 (MRCItem +litem &105 +pos 5 dimension 20 uid 128,0 ) -*108 (MRCItem -litem &94 -pos 7 +*120 (MRCItem +litem &106 +pos 6 dimension 20 uid 130,0 ) -*109 (MRCItem -litem &95 -pos 0 -dimension 20 -uid 132,0 -) -*110 (MRCItem -litem &96 -pos 2 +*121 (MRCItem +litem &107 +pos 1 dimension 20 uid 134,0 ) -*111 (MRCItem -litem &97 -pos 5 +*122 (MRCItem +litem &108 +pos 4 dimension 20 uid 276,0 ) -*112 (MRCItem -litem &98 -pos 8 +*123 (MRCItem +litem &109 +pos 7 dimension 20 uid 337,0 ) +*124 (MRCItem +litem &110 +pos 8 +dimension 20 +uid 443,0 +) ] ) sheetCol (SheetCol @@ -3005,50 +3458,50 @@ textAngle 90 ) uid 201,0 optionalChildren [ -*113 (MRCItem -litem &81 +*125 (MRCItem +litem &93 pos 0 dimension 20 uid 202,0 ) -*114 (MRCItem -litem &83 +*126 (MRCItem +litem &95 pos 1 dimension 50 uid 203,0 ) -*115 (MRCItem -litem &84 +*127 (MRCItem +litem &96 pos 2 dimension 100 uid 204,0 ) -*116 (MRCItem -litem &85 +*128 (MRCItem +litem &97 pos 3 dimension 50 uid 205,0 ) -*117 (MRCItem -litem &86 +*129 (MRCItem +litem &98 pos 4 dimension 100 uid 206,0 ) -*118 (MRCItem -litem &87 +*130 (MRCItem +litem &99 pos 5 dimension 100 uid 207,0 ) -*119 (MRCItem -litem &88 +*131 (MRCItem +litem &100 pos 6 dimension 50 uid 208,0 ) -*120 (MRCItem -litem &89 +*132 (MRCItem +litem &101 pos 7 dimension 80 uid 209,0 @@ -3068,38 +3521,38 @@ uid 181,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *121 (LEmptyRow +emptyRow *133 (LEmptyRow ) uid 211,0 optionalChildren [ -*122 (RefLabelRowHdr +*134 (RefLabelRowHdr ) -*123 (TitleRowHdr +*135 (TitleRowHdr ) -*124 (FilterRowHdr +*136 (FilterRowHdr ) -*125 (RefLabelColHdr +*137 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*126 (RowExpandColHdr +*138 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*127 (GroupColHdr +*139 (GroupColHdr tm "GroupColHdrMgr" ) -*128 (NameColHdr +*140 (NameColHdr tm "GenericNameColHdrMgr" ) -*129 (TypeColHdr +*141 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*130 (InitColHdr +*142 (InitColHdr tm "GenericValueColHdrMgr" ) -*131 (PragmaColHdr +*143 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*132 (EolColHdr +*144 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -3109,7 +3562,7 @@ displayShortBounds 1 editShortBounds 1 uid 223,0 optionalChildren [ -*133 (Sheet +*145 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -3126,27 +3579,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *134 (MRCItem -litem &121 +emptyMRCItem *146 (MRCItem +litem &133 pos 0 dimension 20 ) uid 225,0 optionalChildren [ -*135 (MRCItem -litem &122 +*147 (MRCItem +litem &134 pos 0 dimension 20 uid 226,0 ) -*136 (MRCItem -litem &123 +*148 (MRCItem +litem &135 pos 1 dimension 23 uid 227,0 ) -*137 (MRCItem -litem &124 +*149 (MRCItem +litem &136 pos 2 hidden 1 dimension 20 @@ -3163,44 +3616,44 @@ textAngle 90 ) uid 229,0 optionalChildren [ -*138 (MRCItem -litem &125 +*150 (MRCItem +litem &137 pos 0 dimension 20 uid 230,0 ) -*139 (MRCItem -litem &127 +*151 (MRCItem +litem &139 pos 1 dimension 50 uid 231,0 ) -*140 (MRCItem -litem &128 +*152 (MRCItem +litem &140 pos 2 dimension 100 uid 232,0 ) -*141 (MRCItem -litem &129 +*153 (MRCItem +litem &141 pos 3 dimension 100 uid 233,0 ) -*142 (MRCItem -litem &130 +*154 (MRCItem +litem &142 pos 4 dimension 50 uid 234,0 ) -*143 (MRCItem -litem &131 +*155 (MRCItem +litem &143 pos 5 dimension 50 uid 235,0 ) -*144 (MRCItem -litem &132 +*156 (MRCItem +litem &144 pos 6 dimension 80 uid 236,0 diff --git a/Cursor/hds/@button/interface b/Cursor/hds/@button/interface index 23125b1..1740315 100644 --- a/Cursor/hds/@button/interface +++ b/Cursor/hds/@button/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 16,0 +suid 50,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -69,21 +69,22 @@ n "button" t "unsigned" b "(3 DOWNTO 0)" o 8 -suid 9,0 +suid 43,0 ) ) -uid 296,0 +uid 833,0 ) *15 (LogPort port (LogicalPort +lang 11 decl (Decl n "button4" -t "std_uLogic" +t "std_ulogic" o 1 -suid 10,0 +suid 44,0 ) ) -uid 298,0 +uid 835,0 ) *16 (LogPort port (LogicalPort @@ -91,10 +92,10 @@ decl (Decl n "clock" t "std_ulogic" o 2 -suid 11,0 +suid 45,0 ) ) -uid 300,0 +uid 837,0 ) *17 (LogPort port (LogicalPort @@ -102,10 +103,10 @@ decl (Decl n "go1" t "std_uLogic" o 3 -suid 12,0 +suid 46,0 ) ) -uid 302,0 +uid 839,0 ) *18 (LogPort port (LogicalPort @@ -113,10 +114,10 @@ decl (Decl n "go2" t "std_uLogic" o 4 -suid 13,0 +suid 47,0 ) ) -uid 304,0 +uid 841,0 ) *19 (LogPort port (LogicalPort @@ -124,10 +125,10 @@ decl (Decl n "reset" t "std_ulogic" o 5 -suid 14,0 +suid 48,0 ) ) -uid 306,0 +uid 843,0 ) *20 (LogPort port (LogicalPort @@ -135,10 +136,10 @@ decl (Decl n "restart" t "std_uLogic" o 6 -suid 15,0 +suid 49,0 ) ) -uid 308,0 +uid 845,0 ) *21 (LogPort port (LogicalPort @@ -147,10 +148,10 @@ decl (Decl n "unlock" t "std_ulogic" o 7 -suid 16,0 +suid 50,0 ) ) -uid 310,0 +uid 847,0 ) ] ) @@ -204,51 +205,51 @@ uid 127,0 ) *27 (MRCItem litem &14 -pos 0 +pos 7 dimension 20 -uid 297,0 +uid 834,0 ) *28 (MRCItem litem &15 -pos 1 +pos 0 dimension 20 -uid 299,0 +uid 836,0 ) *29 (MRCItem litem &16 -pos 2 +pos 1 dimension 20 -uid 301,0 +uid 838,0 ) *30 (MRCItem litem &17 -pos 3 +pos 2 dimension 20 -uid 303,0 +uid 840,0 ) *31 (MRCItem litem &18 -pos 4 +pos 3 dimension 20 -uid 305,0 +uid 842,0 ) *32 (MRCItem litem &19 -pos 5 +pos 4 dimension 20 -uid 307,0 +uid 844,0 ) *33 (MRCItem litem &20 -pos 6 +pos 5 dimension 20 -uid 309,0 +uid 846,0 ) *34 (MRCItem litem &21 -pos 7 +pos 6 dimension 20 -uid 311,0 +uid 848,0 ) ] ) @@ -523,7 +524,7 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "07.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -535,7 +536,7 @@ value "mardi" ) (vvPair variable "dd" -value "07" +value "21" ) (vvPair variable "entity_name" @@ -563,7 +564,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "07.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -575,7 +576,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "09:34:59" +value "13:55:06" ) (vvPair variable "group" @@ -647,7 +648,7 @@ value "interface" ) (vvPair variable "time" -value "09:34:59" +value "13:55:06" ) (vvPair variable "unit" @@ -682,35 +683,35 @@ optionalChildren [ uid 8,0 optionalChildren [ *68 (CptPort -uid 256,0 +uid 793,0 ps "OnEdgeStrategy" shape (Triangle -uid 257,0 +uid 794,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) -xt "23000,8625,23750,9375" +xt "23000,9625,23750,10375" ) tg (CPTG -uid 258,0 +uid 795,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 259,0 +uid 796,0 va (VaSet font "Verdana,12,0" ) -xt "17000,8300,22000,9700" +xt "17000,9300,22000,10700" st "button" ju 2 -blo "22000,9500" +blo "22000,10500" tm "CptPortNameMgr" ) ) dt (MLText -uid 260,0 +uid 797,0 va (VaSet font "Courier New,8,0" ) @@ -725,15 +726,15 @@ n "button" t "unsigned" b "(3 DOWNTO 0)" o 8 -suid 9,0 +suid 43,0 ) ) ) *69 (CptPort -uid 261,0 +uid 798,0 ps "OnEdgeStrategy" shape (Triangle -uid 262,0 +uid 799,0 ro 90 va (VaSet vasetType 1 @@ -742,11 +743,11 @@ fg "0,65535,0" xt "14250,13625,15000,14375" ) tg (CPTG -uid 263,0 +uid 800,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 264,0 +uid 801,0 va (VaSet font "Verdana,12,0" ) @@ -757,27 +758,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 265,0 +uid 802,0 va (VaSet font "Courier New,8,0" ) xt "44000,2400,60500,3200" -st "button4 : IN std_uLogic ;" +st "button4 : IN std_ulogic ;" ) thePort (LogicalPort +lang 11 decl (Decl n "button4" -t "std_uLogic" +t "std_ulogic" o 1 -suid 10,0 +suid 44,0 ) ) ) *70 (CptPort -uid 266,0 +uid 803,0 ps "OnEdgeStrategy" shape (Triangle -uid 267,0 +uid 804,0 ro 90 va (VaSet vasetType 1 @@ -786,11 +788,11 @@ fg "0,65535,0" xt "14250,16625,15000,17375" ) tg (CPTG -uid 268,0 +uid 805,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 269,0 +uid 806,0 va (VaSet font "Verdana,12,0" ) @@ -801,7 +803,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 270,0 +uid 807,0 va (VaSet font "Courier New,8,0" ) @@ -813,15 +815,15 @@ decl (Decl n "clock" t "std_ulogic" o 2 -suid 11,0 +suid 45,0 ) ) ) *71 (CptPort -uid 271,0 +uid 808,0 ps "OnEdgeStrategy" shape (Triangle -uid 272,0 +uid 809,0 ro 90 va (VaSet vasetType 1 @@ -830,11 +832,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 273,0 +uid 810,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 274,0 +uid 811,0 va (VaSet font "Verdana,12,0" ) @@ -845,7 +847,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 275,0 +uid 812,0 va (VaSet font "Courier New,8,0" ) @@ -857,15 +859,15 @@ decl (Decl n "go1" t "std_uLogic" o 3 -suid 12,0 +suid 46,0 ) ) ) *72 (CptPort -uid 276,0 +uid 813,0 ps "OnEdgeStrategy" shape (Triangle -uid 277,0 +uid 814,0 ro 90 va (VaSet vasetType 1 @@ -874,11 +876,11 @@ fg "0,65535,0" xt "14250,11625,15000,12375" ) tg (CPTG -uid 278,0 +uid 815,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 279,0 +uid 816,0 va (VaSet font "Verdana,12,0" ) @@ -889,7 +891,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 280,0 +uid 817,0 va (VaSet font "Courier New,8,0" ) @@ -901,15 +903,15 @@ decl (Decl n "go2" t "std_uLogic" o 4 -suid 13,0 +suid 47,0 ) ) ) *73 (CptPort -uid 281,0 +uid 818,0 ps "OnEdgeStrategy" shape (Triangle -uid 282,0 +uid 819,0 ro 90 va (VaSet vasetType 1 @@ -918,11 +920,11 @@ fg "0,65535,0" xt "14250,17625,15000,18375" ) tg (CPTG -uid 283,0 +uid 820,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 284,0 +uid 821,0 va (VaSet font "Verdana,12,0" ) @@ -933,7 +935,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 285,0 +uid 822,0 va (VaSet font "Courier New,8,0" ) @@ -945,15 +947,15 @@ decl (Decl n "reset" t "std_ulogic" o 5 -suid 14,0 +suid 48,0 ) ) ) *74 (CptPort -uid 286,0 +uid 823,0 ps "OnEdgeStrategy" shape (Triangle -uid 287,0 +uid 824,0 ro 90 va (VaSet vasetType 1 @@ -962,11 +964,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 288,0 +uid 825,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 289,0 +uid 826,0 va (VaSet font "Verdana,12,0" ) @@ -977,7 +979,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 290,0 +uid 827,0 va (VaSet font "Courier New,8,0" ) @@ -989,15 +991,15 @@ decl (Decl n "restart" t "std_uLogic" o 6 -suid 15,0 +suid 49,0 ) ) ) *75 (CptPort -uid 291,0 +uid 828,0 ps "OnEdgeStrategy" shape (Triangle -uid 292,0 +uid 829,0 ro 270 va (VaSet vasetType 1 @@ -1006,11 +1008,11 @@ fg "0,65535,0" xt "23000,15625,23750,16375" ) tg (CPTG -uid 293,0 +uid 830,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 294,0 +uid 831,0 va (VaSet font "Verdana,12,0" ) @@ -1022,7 +1024,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 295,0 +uid 832,0 va (VaSet font "Courier New,8,0" ) @@ -1035,7 +1037,7 @@ decl (Decl n "unlock" t "std_ulogic" o 7 -suid 16,0 +suid 50,0 ) ) ) @@ -1083,7 +1085,7 @@ uid 15,0 va (VaSet font "Courier New,8,0" ) -xt "-10500,8500,1000,9300" +xt "-17500,8500,-6000,9300" st "Generic Declarations" ) header "Generic Declarations" @@ -1746,6 +1748,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 357,0 +lastUid 917,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@compteur/compteurt.bd b/Cursor/hds/@compteur/compteurt.bd index fbac2f6..eafb13c 100644 --- a/Cursor/hds/@compteur/compteurt.bd +++ b/Cursor/hds/@compteur/compteurt.bd @@ -53,6 +53,20 @@ elements [ mwi 0 uid 384,0 ) +(Instance +name "U_3" +duLibraryName "gates" +duName "bufferUlogic" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 719,0 +) ] libraryRefs [ "ieee" @@ -111,7 +125,7 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -123,7 +137,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -151,7 +165,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -163,7 +177,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "16:00:52" +value "13:35:14" ) (vvPair variable "group" @@ -235,7 +249,7 @@ value "compteurt" ) (vvPair variable "time" -value "16:00:52" +value "13:35:14" ) (vvPair variable "unit" @@ -326,7 +340,8 @@ va (VaSet font "Courier New,8,0" ) xt "21000,-3800,35500,-3000" -st "clock : std_ulogic" +st "clock : std_ulogic +" ) ) *3 (PortIoIn @@ -389,7 +404,8 @@ va (VaSet font "Courier New,8,0" ) xt "21000,-3000,35500,-2200" -st "down : std_uLogic" +st "down : std_uLogic +" ) ) *5 (PortIoOut @@ -452,7 +468,8 @@ va (VaSet font "Courier New,8,0" ) xt "21000,-600,41000,200" -st "Position : unsigned(15 DOWNTO 0)" +st "Position : unsigned(15 DOWNTO 0) +" ) ) *7 (PortIoIn @@ -515,7 +532,8 @@ va (VaSet font "Courier New,8,0" ) xt "21000,-4600,35500,-3800" -st "RaZ : std_ulogic" +st "RaZ : std_ulogic +" ) ) *9 (PortIoIn @@ -578,7 +596,8 @@ va (VaSet font "Courier New,8,0" ) xt "21000,-2200,35500,-1400" -st "reset : std_ulogic" +st "reset : std_ulogic +" ) ) *11 (PortIoIn @@ -641,7 +660,8 @@ va (VaSet font "Courier New,8,0" ) xt "21000,-1400,35500,-600" -st "up : std_uLogic" +st "up : std_uLogic +" ) ) *13 (Grouping @@ -1073,7 +1093,8 @@ va (VaSet font "Courier New,8,0" ) xt "21000,4600,39000,5400" -st "SIGNAL upnotdown : std_uLogic" +st "SIGNAL upnotdown : std_uLogic +" ) ) *29 (Net @@ -1091,7 +1112,8 @@ va (VaSet font "Courier New,8,0" ) xt "21000,2200,39000,3000" -st "SIGNAL en : std_ulogic" +st "SIGNAL en : std_ulogic +" ) ) *30 (SaComponent @@ -1412,7 +1434,7 @@ uid 394,0 lang 11 decl (Decl n "position20bit" -t "integer" +t "unsigned" b "(19 DOWNTO 0)" o 10 suid 16,0 @@ -1422,8 +1444,9 @@ uid 395,0 va (VaSet font "Courier New,8,0" ) -xt "21000,3000,44500,3800" -st "SIGNAL position20bit : integer(19 DOWNTO 0)" +xt "21000,3000,45000,3800" +st "SIGNAL position20bit : unsigned(19 DOWNTO 0) +" ) ) *42 (Net @@ -1441,7 +1464,8 @@ va (VaSet font "Courier New,8,0" ) xt "21000,1400,38000,2200" -st "SIGNAL clk : unsigned" +st "SIGNAL clk : unsigned +" ) ) *43 (Net @@ -1459,10 +1483,200 @@ va (VaSet font "Courier New,8,0" ) xt "21000,3800,38000,4600" -st "SIGNAL rst : unsigned" +st "SIGNAL rst : unsigned +" ) ) -*44 (Wire +*44 (SaComponent +uid 719,0 +optionalChildren [ +*45 (CptPort +uid 711,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 712,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "3250,17625,4000,18375" +) +tg (CPTG +uid 713,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 714,0 +va (VaSet +isHidden 1 +) +xt "4000,17700,6300,18900" +st "in1" +blo "4000,18700" +) +s (Text +uid 729,0 +va (VaSet +isHidden 1 +) +xt "4000,18900,4000,18900" +blo "4000,18900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*46 (CptPort +uid 715,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 716,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "9000,17625,9750,18375" +) +tg (CPTG +uid 717,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 718,0 +va (VaSet +isHidden 1 +) +xt "6000,17700,9000,18900" +st "out1" +ju 2 +blo "9000,18700" +) +s (Text +uid 730,0 +va (VaSet +isHidden 1 +) +xt "9000,18900,9000,18900" +ju 2 +blo "9000,18900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 720,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "4000,15000,9000,21000" +) +showPorts 0 +oxt "32000,15000,37000,21000" +ttg (MlTextGroup +uid 721,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +uid 722,0 +va (VaSet +font "Verdana,8,1" +) +xt "4910,20700,8010,21700" +st "gates" +blo "4910,21500" +tm "BdLibraryNameMgr" +) +*48 (Text +uid 723,0 +va (VaSet +font "Verdana,8,1" +) +xt "4910,21700,11810,22700" +st "bufferUlogic" +blo "4910,22500" +tm "CptNameMgr" +) +*49 (Text +uid 724,0 +va (VaSet +font "Verdana,8,1" +) +xt "4910,22700,7410,23700" +st "U_3" +blo "4910,23500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 725,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 726,0 +text (MLText +uid 727,0 +va (VaSet +font "Verdana,8,0" +) +xt "4000,23600,18100,24600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 728,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "4250,19250,5750,20750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*50 (Wire uid 15,0 shape (OrthoPolyLine uid 16,0 @@ -1499,7 +1713,7 @@ tm "WireNameMgr" ) on &2 ) -*45 (Wire +*51 (Wire uid 29,0 shape (OrthoPolyLine uid 30,0 @@ -1539,7 +1753,7 @@ tm "WireNameMgr" ) on &4 ) -*46 (Wire +*52 (Wire uid 43,0 shape (OrthoPolyLine uid 44,0 @@ -1578,7 +1792,7 @@ tm "WireNameMgr" ) on &6 ) -*47 (Wire +*53 (Wire uid 57,0 shape (OrthoPolyLine uid 58,0 @@ -1616,7 +1830,7 @@ tm "WireNameMgr" ) on &8 ) -*48 (Wire +*54 (Wire uid 71,0 shape (OrthoPolyLine uid 72,0 @@ -1653,18 +1867,18 @@ tm "WireNameMgr" ) on &10 ) -*49 (Wire +*55 (Wire uid 85,0 optionalChildren [ -*50 (BdJunction -uid 374,0 +*56 (BdJunction +uid 735,0 ps "OnConnectorStrategy" shape (Circle -uid 375,0 +uid 736,0 va (VaSet vasetType 1 ) -xt "8600,13600,9400,14400" +xt "600,13600,1400,14400" radius 400 ) ) @@ -1705,10 +1919,10 @@ tm "WireNameMgr" ) on &12 ) -*51 (Wire +*57 (Wire uid 277,0 optionalChildren [ -*52 (BdJunction +*58 (BdJunction uid 436,0 ps "OnConnectorStrategy" shape (Circle @@ -1756,21 +1970,20 @@ tm "WireNameMgr" ) on &29 ) -*53 (Wire +*59 (Wire uid 317,0 shape (OrthoPolyLine uid 318,0 va (VaSet vasetType 3 ) -xt "9000,14000,25000,18000" +xt "9000,18000,25000,18000" pts [ -"9000,14000" "9000,18000" "25000,18000" ] ) -start &50 +start &46 end &24 sat 32 eat 1 @@ -1793,45 +2006,7 @@ tm "WireNameMgr" ) on &28 ) -*54 (Wire -uid 333,0 -shape (OrthoPolyLine -uid 334,0 -va (VaSet -vasetType 3 -lineWidth 2 -) -xt "43000,16000,48000,16000" -pts [ -"43000,16000" -"48000,16000" -] -) -start &24 -end &37 -sat 2 -eat 1 -sty 1 -st 0 -sf 1 -si 0 -tg (WTG -uid 339,0 -ps "ConnStartEndStrategy" -stg "STSignalDisplayStrategy" -f (Text -uid 340,0 -va (VaSet -) -xt "43000,14800,56100,16000" -st "position20bit : (19:0)" -blo "43000,15800" -tm "WireNameMgr" -) -) -on &41 -) -*55 (Wire +*60 (Wire uid 396,0 shape (OrthoPolyLine uid 397,0 @@ -1866,7 +2041,7 @@ tm "WireNameMgr" ) on &42 ) -*56 (Wire +*61 (Wire uid 406,0 shape (OrthoPolyLine uid 407,0 @@ -1901,7 +2076,7 @@ tm "WireNameMgr" ) on &43 ) -*57 (Wire +*62 (Wire uid 430,0 shape (OrthoPolyLine uid 431,0 @@ -1916,7 +2091,7 @@ pts [ "51000,13000" ] ) -start &52 +start &58 end &37 sat 32 eat 1 @@ -1941,6 +2116,82 @@ tm "WireNameMgr" ) on &29 ) +*63 (Wire +uid 603,0 +shape (OrthoPolyLine +uid 604,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "43000,16000,48000,16000" +pts [ +"43000,16000" +"48000,16000" +] +) +start &24 +end &37 +sat 2 +eat 1 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 609,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 610,0 +va (VaSet +) +xt "42000,14800,55100,16000" +st "position20bit : (19:0)" +blo "42000,15800" +tm "WireNameMgr" +) +) +on &41 +) +*64 (Wire +uid 731,0 +shape (OrthoPolyLine +uid 732,0 +va (VaSet +vasetType 3 +) +xt "1000,14000,4000,18000" +pts [ +"1000,14000" +"1000,18000" +"4000,18000" +] +) +start &56 +end &45 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 733,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 734,0 +va (VaSet +) +xt "1000,16800,2900,18000" +st "up" +blo "1000,17800" +tm "WireNameMgr" +) +) +on &12 +) ] bg "65535,65535,65535" grid (Grid @@ -1953,11 +2204,11 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *58 (PackageList +packageList *65 (PackageList uid 137,0 stg "VerticalLayoutStrategy" textVec [ -*59 (Text +*66 (Text uid 138,0 va (VaSet font "Verdana,9,1" @@ -1966,7 +2217,7 @@ xt "0,-400,7600,800" st "Package List" blo "0,600" ) -*60 (MLText +*67 (MLText uid 139,0 va (VaSet ) @@ -1985,7 +2236,7 @@ compDirBlock (MlTextGroup uid 140,0 stg "VerticalLayoutStrategy" textVec [ -*61 (Text +*68 (Text uid 141,0 va (VaSet isHidden 1 @@ -1995,7 +2246,7 @@ xt "20000,0,30800,1200" st "Compiler Directives" blo "20000,1000" ) -*62 (Text +*69 (Text uid 142,0 va (VaSet isHidden 1 @@ -2005,7 +2256,7 @@ xt "20000,1200,33100,2400" st "Pre-module directives:" blo "20000,2200" ) -*63 (MLText +*70 (MLText uid 143,0 va (VaSet isHidden 1 @@ -2015,7 +2266,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*64 (Text +*71 (Text uid 144,0 va (VaSet isHidden 1 @@ -2025,7 +2276,7 @@ xt "20000,4800,33700,6000" st "Post-module directives:" blo "20000,5800" ) -*65 (MLText +*72 (MLText uid 145,0 va (VaSet isHidden 1 @@ -2033,7 +2284,7 @@ isHidden 1 xt "20000,0,20000,0" tm "BdCompilerDirectivesTextMgr" ) -*66 (Text +*73 (Text uid 146,0 va (VaSet isHidden 1 @@ -2043,7 +2294,7 @@ xt "20000,6000,33200,7200" st "End-module directives:" blo "20000,7000" ) -*67 (MLText +*74 (MLText uid 147,0 va (VaSet isHidden 1 @@ -2054,12 +2305,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,0,1537,936" -viewArea "-11271,-12235,88950,49627" +windowSize "0,24,1537,960" +viewArea "-41081,-16948,59139,44914" cachedDiagramExtent "-6200,-7000,68800,49000" hasePageBreakOrigin 1 pageBreakOrigin "-7000,-49000" -lastUid 486,0 +lastUid 736,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -2149,7 +2400,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*68 (Text +*75 (Text va (VaSet font "Verdana,9,1" ) @@ -2158,7 +2409,7 @@ st "" blo "1300,4200" tm "BdLibraryNameMgr" ) -*69 (Text +*76 (Text va (VaSet font "Verdana,9,1" ) @@ -2167,7 +2418,7 @@ st "" blo "1300,5400" tm "BlkNameMgr" ) -*70 (Text +*77 (Text va (VaSet font "Verdana,9,1" ) @@ -2218,7 +2469,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*71 (Text +*78 (Text va (VaSet font "Verdana,9,1" ) @@ -2226,7 +2477,7 @@ xt "-350,3200,3750,4400" st "Library" blo "-350,4200" ) -*72 (Text +*79 (Text va (VaSet font "Verdana,9,1" ) @@ -2234,7 +2485,7 @@ xt "-350,4400,8350,5600" st "MWComponent" blo "-350,5400" ) -*73 (Text +*80 (Text va (VaSet font "Verdana,9,1" ) @@ -2283,7 +2534,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*74 (Text +*81 (Text va (VaSet font "Verdana,9,1" ) @@ -2292,7 +2543,7 @@ st "Library" blo "0,4200" tm "BdLibraryNameMgr" ) -*75 (Text +*82 (Text va (VaSet font "Verdana,9,1" ) @@ -2301,7 +2552,7 @@ st "SaComponent" blo "0,5400" tm "CptNameMgr" ) -*76 (Text +*83 (Text va (VaSet font "Verdana,9,1" ) @@ -2355,7 +2606,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*77 (Text +*84 (Text va (VaSet font "Verdana,9,1" ) @@ -2363,7 +2614,7 @@ xt "-500,3200,3600,4400" st "Library" blo "-500,4200" ) -*78 (Text +*85 (Text va (VaSet font "Verdana,9,1" ) @@ -2371,7 +2622,7 @@ xt "-500,4400,8500,5600" st "VhdlComponent" blo "-500,5400" ) -*79 (Text +*86 (Text va (VaSet font "Verdana,9,1" ) @@ -2416,7 +2667,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*80 (Text +*87 (Text va (VaSet font "Verdana,9,1" ) @@ -2424,7 +2675,7 @@ xt "-1150,3200,2950,4400" st "Library" blo "-1150,4200" ) -*81 (Text +*88 (Text va (VaSet font "Verdana,9,1" ) @@ -2432,7 +2683,7 @@ xt "-1150,4400,9150,5600" st "VerilogComponent" blo "-1150,5400" ) -*82 (Text +*89 (Text va (VaSet font "Verdana,9,1" ) @@ -2473,7 +2724,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*83 (Text +*90 (Text va (VaSet font "Verdana,9,1" ) @@ -2482,7 +2733,7 @@ st "eb1" blo "2800,4800" tm "HdlTextNameMgr" ) -*84 (Text +*91 (Text va (VaSet font "Verdana,9,1" ) @@ -2885,7 +3136,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*85 (Text +*92 (Text va (VaSet font "Verdana,9,1" ) @@ -2893,7 +3144,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*86 (MLText +*93 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -2945,7 +3196,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*87 (Text +*94 (Text va (VaSet font "Verdana,9,1" ) @@ -2953,7 +3204,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*88 (MLText +*95 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -3099,46 +3350,46 @@ tm "BdDeclarativeTextMgr" ) commonDM (CommonDM ldm (LogicalDM -suid 18,0 +suid 20,0 usingSuid 1 -emptyRow *89 (LEmptyRow +emptyRow *96 (LEmptyRow ) uid 150,0 optionalChildren [ -*90 (RefLabelRowHdr +*97 (RefLabelRowHdr ) -*91 (TitleRowHdr +*98 (TitleRowHdr ) -*92 (FilterRowHdr +*99 (FilterRowHdr ) -*93 (RefLabelColHdr +*100 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*94 (RowExpandColHdr +*101 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*95 (GroupColHdr +*102 (GroupColHdr tm "GroupColHdrMgr" ) -*96 (NameColHdr +*103 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*97 (ModeColHdr +*104 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*98 (TypeColHdr +*105 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*99 (BoundsColHdr +*106 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*100 (InitColHdr +*107 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*101 (EolColHdr +*108 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*102 (LeafLogPort +*109 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -3150,7 +3401,7 @@ suid 4,0 ) uid 93,0 ) -*103 (LeafLogPort +*110 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -3162,7 +3413,7 @@ suid 1,0 ) uid 95,0 ) -*104 (LeafLogPort +*111 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -3174,7 +3425,7 @@ suid 2,0 ) uid 97,0 ) -*105 (LeafLogPort +*112 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -3186,7 +3437,7 @@ suid 5,0 ) uid 99,0 ) -*106 (LeafLogPort +*113 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -3198,7 +3449,7 @@ suid 6,0 ) uid 101,0 ) -*107 (LeafLogPort +*114 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -3212,7 +3463,7 @@ suid 3,0 ) uid 103,0 ) -*108 (LeafLogPort +*115 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -3225,7 +3476,7 @@ suid 12,0 ) uid 378,0 ) -*109 (LeafLogPort +*116 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -3238,13 +3489,13 @@ suid 13,0 ) uid 380,0 ) -*110 (LeafLogPort +*117 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl n "position20bit" -t "integer" +t "unsigned" b "(19 DOWNTO 0)" o 10 suid 16,0 @@ -3252,7 +3503,7 @@ suid 16,0 ) uid 416,0 ) -*111 (LeafLogPort +*118 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -3265,7 +3516,7 @@ suid 17,0 ) uid 418,0 ) -*112 (LeafLogPort +*119 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -3285,7 +3536,7 @@ displayShortBounds 1 editShortBounds 1 uid 163,0 optionalChildren [ -*113 (Sheet +*120 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -3302,94 +3553,94 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *114 (MRCItem -litem &89 +emptyMRCItem *121 (MRCItem +litem &96 pos 11 dimension 20 ) uid 165,0 optionalChildren [ -*115 (MRCItem -litem &90 +*122 (MRCItem +litem &97 pos 0 dimension 20 uid 166,0 ) -*116 (MRCItem -litem &91 +*123 (MRCItem +litem &98 pos 1 dimension 23 uid 167,0 ) -*117 (MRCItem -litem &92 +*124 (MRCItem +litem &99 pos 2 hidden 1 dimension 20 uid 168,0 ) -*118 (MRCItem -litem &102 +*125 (MRCItem +litem &109 pos 0 dimension 20 uid 94,0 ) -*119 (MRCItem -litem &103 +*126 (MRCItem +litem &110 pos 1 dimension 20 uid 96,0 ) -*120 (MRCItem -litem &104 +*127 (MRCItem +litem &111 pos 2 dimension 20 uid 98,0 ) -*121 (MRCItem -litem &105 +*128 (MRCItem +litem &112 pos 3 dimension 20 uid 100,0 ) -*122 (MRCItem -litem &106 +*129 (MRCItem +litem &113 pos 4 dimension 20 uid 102,0 ) -*123 (MRCItem -litem &107 +*130 (MRCItem +litem &114 pos 5 dimension 20 uid 104,0 ) -*124 (MRCItem -litem &108 +*131 (MRCItem +litem &115 pos 6 dimension 20 uid 379,0 ) -*125 (MRCItem -litem &109 +*132 (MRCItem +litem &116 pos 7 dimension 20 uid 381,0 ) -*126 (MRCItem -litem &110 +*133 (MRCItem +litem &117 pos 8 dimension 20 uid 417,0 ) -*127 (MRCItem -litem &111 +*134 (MRCItem +litem &118 pos 9 dimension 20 uid 419,0 ) -*128 (MRCItem -litem &112 +*135 (MRCItem +litem &119 pos 10 dimension 20 uid 421,0 @@ -3405,50 +3656,50 @@ textAngle 90 ) uid 169,0 optionalChildren [ -*129 (MRCItem -litem &93 +*136 (MRCItem +litem &100 pos 0 dimension 20 uid 170,0 ) -*130 (MRCItem -litem &95 +*137 (MRCItem +litem &102 pos 1 dimension 50 uid 171,0 ) -*131 (MRCItem -litem &96 +*138 (MRCItem +litem &103 pos 2 dimension 100 uid 172,0 ) -*132 (MRCItem -litem &97 +*139 (MRCItem +litem &104 pos 3 dimension 50 uid 173,0 ) -*133 (MRCItem -litem &98 +*140 (MRCItem +litem &105 pos 4 dimension 100 uid 174,0 ) -*134 (MRCItem -litem &99 +*141 (MRCItem +litem &106 pos 5 dimension 100 uid 175,0 ) -*135 (MRCItem -litem &100 +*142 (MRCItem +litem &107 pos 6 dimension 50 uid 176,0 ) -*136 (MRCItem -litem &101 +*143 (MRCItem +litem &108 pos 7 dimension 80 uid 177,0 @@ -3468,38 +3719,38 @@ uid 149,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *137 (LEmptyRow +emptyRow *144 (LEmptyRow ) uid 179,0 optionalChildren [ -*138 (RefLabelRowHdr +*145 (RefLabelRowHdr ) -*139 (TitleRowHdr +*146 (TitleRowHdr ) -*140 (FilterRowHdr +*147 (FilterRowHdr ) -*141 (RefLabelColHdr +*148 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*142 (RowExpandColHdr +*149 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*143 (GroupColHdr +*150 (GroupColHdr tm "GroupColHdrMgr" ) -*144 (NameColHdr +*151 (NameColHdr tm "GenericNameColHdrMgr" ) -*145 (TypeColHdr +*152 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*146 (InitColHdr +*153 (InitColHdr tm "GenericValueColHdrMgr" ) -*147 (PragmaColHdr +*154 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*148 (EolColHdr +*155 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -3509,7 +3760,7 @@ displayShortBounds 1 editShortBounds 1 uid 191,0 optionalChildren [ -*149 (Sheet +*156 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -3526,27 +3777,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *150 (MRCItem -litem &137 +emptyMRCItem *157 (MRCItem +litem &144 pos 0 dimension 20 ) uid 193,0 optionalChildren [ -*151 (MRCItem -litem &138 +*158 (MRCItem +litem &145 pos 0 dimension 20 uid 194,0 ) -*152 (MRCItem -litem &139 +*159 (MRCItem +litem &146 pos 1 dimension 23 uid 195,0 ) -*153 (MRCItem -litem &140 +*160 (MRCItem +litem &147 pos 2 hidden 1 dimension 20 @@ -3563,44 +3814,44 @@ textAngle 90 ) uid 197,0 optionalChildren [ -*154 (MRCItem -litem &141 +*161 (MRCItem +litem &148 pos 0 dimension 20 uid 198,0 ) -*155 (MRCItem -litem &143 +*162 (MRCItem +litem &150 pos 1 dimension 50 uid 199,0 ) -*156 (MRCItem -litem &144 +*163 (MRCItem +litem &151 pos 2 dimension 100 uid 200,0 ) -*157 (MRCItem -litem &145 +*164 (MRCItem +litem &152 pos 3 dimension 100 uid 201,0 ) -*158 (MRCItem -litem &146 +*165 (MRCItem +litem &153 pos 4 dimension 50 uid 202,0 ) -*159 (MRCItem -litem &147 +*166 (MRCItem +litem &154 pos 5 dimension 50 uid 203,0 ) -*160 (MRCItem -litem &148 +*167 (MRCItem +litem &155 pos 6 dimension 80 uid 204,0 diff --git a/Cursor/hds/@driver/interface b/Cursor/hds/@driver/interface new file mode 100644 index 0000000..bced64d --- /dev/null +++ b/Cursor/hds/@driver/interface @@ -0,0 +1,1705 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 7,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +uid 86,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 5 +suid 2,0 +) +) +uid 88,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 3,0 +) +) +uid 90,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 92,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 6 +suid 5,0 +) +) +uid 94,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 7 +suid 6,0 +) +) +uid 96,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "SideL" +t "std_ulogic" +o 2 +suid 7,0 +) +) +uid 98,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 117,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 118,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 119,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*26 (MRCItem +litem &14 +pos 2 +dimension 20 +uid 87,0 +) +*27 (MRCItem +litem &15 +pos 3 +dimension 20 +uid 89,0 +) +*28 (MRCItem +litem &16 +pos 0 +dimension 20 +uid 91,0 +) +*29 (MRCItem +litem &17 +pos 4 +dimension 20 +uid 93,0 +) +*30 (MRCItem +litem &18 +pos 5 +dimension 20 +uid 95,0 +) +*31 (MRCItem +litem &19 +pos 6 +dimension 20 +uid 97,0 +) +*32 (MRCItem +litem &20 +pos 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 122,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 123,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 124,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 125,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 126,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 127,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 128,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 101,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 131,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 143,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 145,0 +optionalChildren [ +*55 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 146,0 +) +*56 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 147,0 +) +*57 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 148,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*58 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 150,0 +) +*59 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 151,0 +) +*60 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 152,0 +) +*61 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 153,0 +) +*62 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 154,0 +) +*63 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 155,0 +) +*64 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 156,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 130,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Driver" +) +(vvPair +variable "date" +value "07.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "Driver" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "07.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "08:21:16" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "Driver" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Driver\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "08:21:16" +) +(vvPair +variable "unit" +value "Driver" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 100,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,16625,15000,17375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,16300,19800,17700" +st "clock" +blo "16000,17500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,60500,4800" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*67 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,6625,23750,7375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +font "Verdana,12,0" +) +xt "15700,6300,22000,7700" +st "motorOn" +ju 2 +blo "22000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,60500,6400" +st "motorOn : OUT std_uLogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 5 +suid 2,0 +) +) +) +*68 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,8625,15000,9375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,8300,20800,9700" +st "Power" +blo "16000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,66000,3200" +st "Power : IN unsigned (7 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 3,0 +) +) +) +*69 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,17625,15000,18375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,17300,20100,18700" +st "reset" +blo "16000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,60500,5600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,14625,23750,15375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +font "Verdana,12,0" +) +xt "17800,14300,22000,15700" +st "side1" +ju 2 +blo "22000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,60500,7200" +st "side1 : OUT std_uLogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 6 +suid 5,0 +) +) +) +*71 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,12625,23750,13375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "Verdana,12,0" +) +xt "17800,12300,22000,13700" +st "side2" +ju 2 +blo "22000,13500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,59500,8000" +st "side2 : OUT std_uLogic " +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 7 +suid 6,0 +) +) +) +*72 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,12625,15000,13375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,12300,20200,13700" +st "SideL" +blo "16000,13500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,60500,4000" +st "SideL : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "SideL" +t "std_ulogic" +o 2 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,20000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "17150,11800,20850,13000" +st "Cursor" +blo "17150,12800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "17150,13000,20750,14200" +st "Driver" +blo "17150,14000" +) +) +gi *73 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-10500,9000,1000,9800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*74 (Grouping +uid 16,0 +optionalChildren [ +*75 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,45400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,45300,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *85 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*87 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "cursorCircuit" +viewName "studentVersion.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *88 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *89 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,8000,45200,9200" +st "User:" +blo "42000,9000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,44000,9200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 225,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/@main/interface b/Cursor/hds/@main/interface new file mode 100644 index 0000000..941b4bb --- /dev/null +++ b/Cursor/hds/@main/interface @@ -0,0 +1,2034 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 74,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 137,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 2 +suid 63,0 +) +) +uid 809,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 3 +suid 64,0 +) +) +uid 811,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +suid 65,0 +) +) +uid 813,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 8 +suid 66,0 +) +) +uid 815,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "RaZ" +t "std_ulogic" +o 9 +suid 67,0 +) +) +uid 817,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 4 +suid 68,0 +) +) +uid 819,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "sensor1" +t "std_uLogic" +o 5 +suid 69,0 +) +) +uid 821,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "sensor2" +t "std_uLogic" +o 6 +suid 70,0 +) +) +uid 823,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "SideL" +t "std_ulogic" +o 10 +suid 71,0 +) +) +uid 825,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 7 +suid 72,0 +) +) +uid 827,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 11 +suid 73,0 +) +) +uid 829,0 +) +*25 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "unlock" +t "std_ulogic" +o 12 +suid 74,0 +) +) +uid 831,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*26 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *27 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 152,0 +optionalChildren [ +*28 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 153,0 +) +*29 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 154,0 +) +*30 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*31 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 810,0 +) +*32 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 812,0 +) +*33 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 814,0 +) +*34 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 816,0 +) +*35 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 818,0 +) +*36 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 820,0 +) +*37 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 822,0 +) +*38 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 824,0 +) +*39 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 826,0 +) +*40 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 828,0 +) +*41 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 830,0 +) +*42 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 832,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*43 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 157,0 +) +*44 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 158,0 +) +*45 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 159,0 +) +*46 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 160,0 +) +*47 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 161,0 +) +*48 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 162,0 +) +*49 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 163,0 +) +*50 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 164,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 136,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *51 (LEmptyRow +) +uid 166,0 +optionalChildren [ +*52 (RefLabelRowHdr +) +*53 (TitleRowHdr +) +*54 (FilterRowHdr +) +*55 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*56 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*57 (GroupColHdr +tm "GroupColHdrMgr" +) +*58 (NameColHdr +tm "GenericNameColHdrMgr" +) +*59 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*60 (InitColHdr +tm "GenericValueColHdrMgr" +) +*61 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*62 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 178,0 +optionalChildren [ +*63 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *64 (MRCItem +litem &51 +pos 3 +dimension 20 +) +uid 180,0 +optionalChildren [ +*65 (MRCItem +litem &52 +pos 0 +dimension 20 +uid 181,0 +) +*66 (MRCItem +litem &53 +pos 1 +dimension 23 +uid 182,0 +) +*67 (MRCItem +litem &54 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 184,0 +optionalChildren [ +*68 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 185,0 +) +*69 (MRCItem +litem &57 +pos 1 +dimension 50 +uid 186,0 +) +*70 (MRCItem +litem &58 +pos 2 +dimension 100 +uid 187,0 +) +*71 (MRCItem +litem &59 +pos 3 +dimension 100 +uid 188,0 +) +*72 (MRCItem +litem &60 +pos 4 +dimension 50 +uid 189,0 +) +*73 (MRCItem +litem &61 +pos 5 +dimension 50 +uid 190,0 +) +*74 (MRCItem +litem &62 +pos 6 +dimension 80 +uid 191,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 179,0 +vaOverrides [ +] +) +] +) +uid 165,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Main" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "Main" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "14:20:05" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "Main" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Main\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:20:05" +) +(vvPair +variable "unit" +value "Main" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 135,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 749,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 750,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,38625,15000,39375" +) +tg (CPTG +uid 751,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 752,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,38300,21000,39700" +st "button" +blo "16000,39500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 753,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,66500,4000" +st "button : IN unsigned (3 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 2 +suid 63,0 +) +) +) +*77 (CptPort +uid 754,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 755,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,58625,15000,59375" +) +tg (CPTG +uid 756,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 757,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,58300,18400,59700" +st "clk" +blo "16000,59500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 758,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,60000,4800" +st "clk : IN unsigned ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 3 +suid 64,0 +) +) +) +*78 (CptPort +uid 759,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 760,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 761,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 762,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,7300,21800,8700" +st "Position" +blo "16000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 763,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,67000,3200" +st "Position : IN unsigned (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +suid 65,0 +) +) +) +*79 (CptPort +uid 764,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 765,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,59625,45750,60375" +) +tg (CPTG +uid 766,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 767,0 +va (VaSet +font "Verdana,12,0" +) +xt "39200,59300,44000,60700" +st "Power" +ju 2 +blo "44000,60500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 768,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8000,66500,8800" +st "Power : OUT unsigned (7 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 8 +suid 66,0 +) +) +) +*80 (CptPort +uid 769,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 770,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,14625,15000,15375" +) +tg (CPTG +uid 771,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 772,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,14300,19200,15700" +st "RaZ" +blo "16000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 773,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8800,61000,9600" +st "RaZ : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RaZ" +t "std_ulogic" +o 9 +suid 67,0 +) +) +) +*81 (CptPort +uid 774,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 775,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,59625,15000,60375" +) +tg (CPTG +uid 776,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 777,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,59300,18500,60700" +st "rst" +blo "16000,60500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 778,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,60000,5600" +st "rst : IN unsigned ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 4 +suid 68,0 +) +) +) +*82 (CptPort +uid 779,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 780,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,53625,15000,54375" +) +tg (CPTG +uid 781,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 782,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,53300,21900,54700" +st "sensor1" +blo "16000,54500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 783,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,61000,6400" +st "sensor1 : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "sensor1" +t "std_uLogic" +o 5 +suid 69,0 +) +) +) +*83 (CptPort +uid 784,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 785,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,51625,15000,52375" +) +tg (CPTG +uid 786,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 787,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,51300,21900,52700" +st "sensor2" +blo "16000,52500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 788,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,61000,7200" +st "sensor2 : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "sensor2" +t "std_uLogic" +o 6 +suid 70,0 +) +) +) +*84 (CptPort +uid 789,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 790,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,63625,45750,64375" +) +tg (CPTG +uid 791,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 792,0 +va (VaSet +font "Verdana,12,0" +) +xt "39800,63300,44000,64700" +st "SideL" +ju 2 +blo "44000,64500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 793,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9600,61000,10400" +st "SideL : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "SideL" +t "std_ulogic" +o 10 +suid 71,0 +) +) +) +*85 (CptPort +uid 794,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 795,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,57625,15000,58375" +) +tg (CPTG +uid 796,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 797,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,57300,22700,58700" +st "testMode" +blo "16000,58500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 798,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,61000,8000" +st "testMode : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 7 +suid 72,0 +) +) +) +*86 (CptPort +uid 799,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 800,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,7625,45750,8375" +) +tg (CPTG +uid 801,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 802,0 +va (VaSet +font "Verdana,12,0" +) +xt "38400,7300,44000,8700" +st "testOut" +ju 2 +blo "44000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 803,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10400,73500,11200" +st "testOut : OUT std_uLogic_vector (1 TO testLineNb) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 11 +suid 73,0 +) +) +) +*87 (CptPort +uid 804,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 805,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,45625,15000,46375" +) +tg (CPTG +uid 806,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 807,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,45300,20800,46700" +st "unlock" +blo "16000,46500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 808,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,11200,60000,12000" +st "unlock : OUT std_ulogic +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "unlock" +t "std_ulogic" +o 12 +suid 74,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,45000,69000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "28150,36300,31850,37500" +st "Cursor" +blo "28150,37300" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "28150,37500,31050,38700" +st "Main" +blo "28150,38500" +) +) +gi *88 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "500,33500,12000,34300" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*89 (Grouping +uid 16,0 +optionalChildren [ +*90 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,46000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,44800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *100 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*101 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*102 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "cursorCircuit" +viewName "studentVersion.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *103 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *104 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,12000,45200,13200" +st "User:" +blo "42000,13000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,13200,44000,13200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 855,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/@position/interface b/Cursor/hds/@position/interface index 61e39b1..954f847 100644 --- a/Cursor/hds/@position/interface +++ b/Cursor/hds/@position/interface @@ -506,7 +506,7 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -518,7 +518,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -546,7 +546,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -558,7 +558,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "16:00:58" +value "13:32:31" ) (vvPair variable "group" @@ -630,7 +630,7 @@ value "interface" ) (vvPair variable "time" -value "16:00:58" +value "13:32:31" ) (vvPair variable "unit" @@ -1685,6 +1685,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 539,0 +lastUid 562,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@position/position.bd b/Cursor/hds/@position/position.bd index b7a3835..f6c57a5 100644 --- a/Cursor/hds/@position/position.bd +++ b/Cursor/hds/@position/position.bd @@ -97,7 +97,7 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -109,7 +109,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -137,7 +137,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -149,7 +149,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "16:00:58" +value "13:32:31" ) (vvPair variable "group" @@ -221,7 +221,7 @@ value "position" ) (vvPair variable "time" -value "16:00:58" +value "13:32:31" ) (vvPair variable "unit" @@ -1733,12 +1733,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "5,0,1542,920" -viewArea "-23700,-7198,73166,51410" +windowSize "0,0,1537,920" +viewArea "-23700,-7200,73166,51408" cachedDiagramExtent "-8500,0,64000,49000" hasePageBreakOrigin 1 -pageBreakOrigin "-82000,0" -lastUid 642,0 +pageBreakOrigin "-9000,0" +lastUid 695,0 defaultCommentText (CommentText shape (Rectangle layer 0 diff --git a/Cursor/hds/button_position/fsm.sm b/Cursor/hds/button_position/fsm.sm index f153803..2d2c1c8 100644 --- a/Cursor/hds/button_position/fsm.sm +++ b/Cursor/hds/button_position/fsm.sm @@ -78,7 +78,7 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "07.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -90,7 +90,7 @@ value "mardi" ) (vvPair variable "dd" -value "07" +value "21" ) (vvPair variable "entity_name" @@ -118,7 +118,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "07.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -130,7 +130,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "09:34:56" +value "13:40:03" ) (vvPair variable "group" @@ -202,7 +202,7 @@ value "fsm" ) (vvPair variable "time" -value "09:34:56" +value "13:40:03" ) (vvPair variable "unit" @@ -230,6 +230,7 @@ value "21" ) ] ) +uid 341,0 optionalChildren [ *1 (ConcurrentSM uid 1,0 @@ -2386,8 +2387,8 @@ tm "SmCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "-8,-8,1544,928" -viewArea "-7556,-9140,88204,49036" +windowSize "0,24,1537,960" +viewArea "-7600,-9100,87957,47840" cachedDiagramExtent "-650,-1000,86600,47000" hasePageBreakOrigin 1 pageBreakOrigin "-1000,-2000" @@ -2762,11 +2763,12 @@ stateOrder [ name "csm" ) ] -lastUid 340,0 +lastUid 467,0 commonDM (CommonDM ldm (LogicalDM emptyRow *62 (LEmptyRow ) +uid 343,0 optionalChildren [ *63 (RefLabelRowHdr ) @@ -2903,6 +2905,7 @@ scheme 0 pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 +uid 362,0 optionalChildren [ *88 (Sheet sheetRow (SheetRow @@ -2926,22 +2929,26 @@ litem &62 pos 7 dimension 20 ) +uid 364,0 optionalChildren [ *90 (MRCItem litem &63 pos 0 dimension 20 +uid 365,0 ) *91 (MRCItem litem &64 pos 1 dimension 23 +uid 366,0 ) *92 (MRCItem litem &65 pos 2 hidden 1 dimension 20 +uid 367,0 ) *93 (MRCItem litem &81 @@ -2994,93 +3001,111 @@ fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) +uid 368,0 optionalChildren [ *100 (MRCItem litem &66 pos 0 dimension 20 +uid 369,0 ) *101 (MRCItem litem &68 pos 1 dimension 50 +uid 370,0 ) *102 (MRCItem litem &69 pos 2 dimension 70 +uid 371,0 ) *103 (MRCItem litem &70 pos 3 dimension 50 +uid 372,0 ) *104 (MRCItem litem &71 pos 4 dimension 80 +uid 373,0 ) *105 (MRCItem litem &72 pos 5 dimension 80 +uid 374,0 ) *106 (MRCItem litem &73 pos 6 dimension 40 +uid 375,0 ) *107 (MRCItem litem &74 pos 7 dimension 100 +uid 376,0 ) *108 (MRCItem litem &75 pos 8 dimension 60 +uid 377,0 ) *109 (MRCItem litem &76 pos 9 dimension 130 +uid 378,0 ) *110 (MRCItem litem &77 pos 10 dimension 56 +uid 379,0 ) *111 (MRCItem litem &78 pos 11 dimension 50 +uid 380,0 ) *112 (MRCItem litem &79 pos 12 dimension 50 +uid 381,0 ) *113 (MRCItem litem &80 pos 13 dimension 80 +uid 382,0 ) ] ) fixedCol 4 fixedRow 2 name "Ports" +uid 363,0 vaOverrides [ ] ) ] ) +uid 342,0 ) cdmCsm &1 genericsCommonDM (CommonDM ldm (LogicalDM emptyRow *114 (LEmptyRow ) +uid 384,0 optionalChildren [ *115 (RefLabelRowHdr ) @@ -3117,6 +3142,7 @@ tm "GenericEolColHdrMgr" pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 +uid 396,0 optionalChildren [ *126 (Sheet sheetRow (SheetRow @@ -3140,22 +3166,26 @@ litem &114 pos 0 dimension 20 ) +uid 398,0 optionalChildren [ *128 (MRCItem litem &115 pos 0 dimension 20 +uid 399,0 ) *129 (MRCItem litem &116 pos 1 dimension 23 +uid 400,0 ) *130 (MRCItem litem &117 pos 2 hidden 1 dimension 20 +uid 401,0 ) ] ) @@ -3166,52 +3196,62 @@ fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) +uid 402,0 optionalChildren [ *131 (MRCItem litem &118 pos 0 dimension 20 +uid 403,0 ) *132 (MRCItem litem &120 pos 1 dimension 50 +uid 404,0 ) *133 (MRCItem litem &121 pos 2 dimension 100 +uid 405,0 ) *134 (MRCItem litem &122 pos 3 dimension 100 +uid 406,0 ) *135 (MRCItem litem &123 pos 4 dimension 50 +uid 407,0 ) *136 (MRCItem litem &124 pos 5 dimension 50 +uid 408,0 ) *137 (MRCItem litem &125 pos 6 dimension 80 +uid 409,0 ) ] ) fixedCol 3 fixedRow 2 name "Ports" +uid 397,0 vaOverrides [ ] ) ] ) +uid 383,0 type 1 ) signalSuffix "_int" @@ -3792,7 +3832,7 @@ va (VaSet vasetType 1 transparent 1 ) -xt "-371,972,-116,1278" +xt "-441,926,-116,1323" ) ] ) @@ -3867,7 +3907,7 @@ va (VaSet vasetType 1 transparent 1 ) -xt "-415,1064,-76,1263" +xt "-425,943,-76,1304" ) (Line sl 0 @@ -4076,6 +4116,6 @@ pts [ ] ) ) -activeModelName "StateMachine" +activeModelName "StateMachine:CDM" LanguageMgr "Vhdl2008LangMgr" ) diff --git a/Cursor/hds/button_position/interface b/Cursor/hds/button_position/interface index 43e5990..678b3e4 100644 --- a/Cursor/hds/button_position/interface +++ b/Cursor/hds/button_position/interface @@ -11,9 +11,14 @@ unitName "std_logic_1164" library "ieee" unitName "numeric_std" ) +(DmPackageRef +library "gates" +unitName "gates" +) ] libraryRefs [ "ieee" +"gates" ] ) version "27.1" @@ -21,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 7,0 +suid 49,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -65,11 +70,11 @@ port (LogicalPort decl (Decl n "clock" t "std_ulogic" -o 1 -suid 1,0 +o 2 +suid 43,0 ) ) -uid 86,0 +uid 736,0 ) *15 (LogPort port (LogicalPort @@ -79,55 +84,55 @@ decl (Decl n "dbus0" t "unsigned" b "(2 DOWNTO 0)" -o 7 -suid 2,0 +o 9 +suid 44,0 ) ) -uid 88,0 +uid 738,0 ) *16 (LogPort port (LogicalPort decl (Decl n "go1" t "std_uLogic" -o 2 -suid 3,0 +o 3 +suid 45,0 ) ) -uid 90,0 +uid 740,0 ) *17 (LogPort port (LogicalPort decl (Decl n "go2" t "std_uLogic" -o 3 -suid 4,0 +o 4 +suid 46,0 ) ) -uid 92,0 +uid 742,0 ) *18 (LogPort port (LogicalPort decl (Decl n "reset" t "std_ulogic" -o 4 -suid 5,0 +o 9 +suid 47,0 ) ) -uid 94,0 +uid 744,0 ) *19 (LogPort port (LogicalPort decl (Decl n "restart" t "std_uLogic" -o 5 -suid 6,0 +o 6 +suid 48,0 ) ) -uid 96,0 +uid 746,0 ) *20 (LogPort port (LogicalPort @@ -135,11 +140,11 @@ lang 11 decl (Decl n "unlock" t "std_ulogic" -o 6 -suid 7,0 +o 7 +suid 49,0 ) ) -uid 98,0 +uid 748,0 ) ] ) @@ -195,43 +200,43 @@ uid 120,0 litem &14 pos 0 dimension 20 -uid 87,0 +uid 737,0 ) *27 (MRCItem litem &15 -pos 6 +pos 1 dimension 20 -uid 89,0 +uid 739,0 ) *28 (MRCItem litem &16 -pos 1 +pos 2 dimension 20 -uid 91,0 +uid 741,0 ) *29 (MRCItem litem &17 -pos 2 +pos 3 dimension 20 -uid 93,0 +uid 743,0 ) *30 (MRCItem litem &18 -pos 3 +pos 4 dimension 20 -uid 95,0 +uid 745,0 ) *31 (MRCItem litem &19 -pos 4 +pos 5 dimension 20 -uid 97,0 +uid 747,0 ) *32 (MRCItem litem &20 -pos 5 +pos 6 dimension 20 -uid 99,0 +uid 749,0 ) ] ) @@ -506,7 +511,7 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "07.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -518,7 +523,7 @@ value "mardi" ) (vvPair variable "dd" -value "07" +value "21" ) (vvPair variable "entity_name" @@ -546,7 +551,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "07.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -558,7 +563,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "09:34:57" +value "13:53:24" ) (vvPair variable "group" @@ -630,7 +635,7 @@ value "interface" ) (vvPair variable "time" -value "09:34:57" +value "13:53:24" ) (vvPair variable "unit" @@ -665,10 +670,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *66 (CptPort -uid 51,0 +uid 701,0 ps "OnEdgeStrategy" shape (Triangle -uid 52,0 +uid 702,0 ro 90 va (VaSet vasetType 1 @@ -677,11 +682,11 @@ fg "0,65535,0" xt "14250,19625,15000,20375" ) tg (CPTG -uid 53,0 +uid 703,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 54,0 +uid 704,0 va (VaSet font "Verdana,12,0" ) @@ -692,57 +697,59 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 55,0 +uid 705,0 va (VaSet font "Courier New,8,0" ) xt "44000,2400,60500,3200" -st "clock : IN std_ulogic ;" +st "clock : IN std_ulogic ; +" ) thePort (LogicalPort decl (Decl n "clock" t "std_ulogic" -o 1 -suid 1,0 +o 2 +suid 43,0 ) ) ) *67 (CptPort -uid 56,0 +uid 706,0 ps "OnEdgeStrategy" shape (Triangle -uid 57,0 +uid 707,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) -xt "23000,10625,23750,11375" +xt "23000,13625,23750,14375" ) tg (CPTG -uid 58,0 +uid 708,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 59,0 +uid 709,0 va (VaSet font "Verdana,12,0" ) -xt "17300,10300,22000,11700" +xt "17300,13300,22000,14700" st "dbus0" ju 2 -blo "22000,11500" +blo "22000,14500" tm "CptPortNameMgr" ) ) dt (MLText -uid 60,0 +uid 710,0 va (VaSet font "Courier New,8,0" ) xt "44000,7200,64500,8000" -st "dbus0 : OUT unsigned (2 DOWNTO 0)" +st "dbus0 : OUT unsigned (2 DOWNTO 0) +" ) thePort (LogicalPort lang 11 @@ -751,16 +758,16 @@ decl (Decl n "dbus0" t "unsigned" b "(2 DOWNTO 0)" -o 7 -suid 2,0 +o 9 +suid 44,0 ) ) ) *68 (CptPort -uid 61,0 +uid 711,0 ps "OnEdgeStrategy" shape (Triangle -uid 62,0 +uid 712,0 ro 90 va (VaSet vasetType 1 @@ -769,11 +776,11 @@ fg "0,65535,0" xt "14250,8625,15000,9375" ) tg (CPTG -uid 63,0 +uid 713,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 64,0 +uid 714,0 va (VaSet font "Verdana,12,0" ) @@ -784,27 +791,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 65,0 +uid 715,0 va (VaSet font "Courier New,8,0" ) xt "44000,3200,60500,4000" -st "go1 : IN std_uLogic ;" +st "go1 : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "go1" t "std_uLogic" -o 2 -suid 3,0 +o 3 +suid 45,0 ) ) ) *69 (CptPort -uid 66,0 +uid 716,0 ps "OnEdgeStrategy" shape (Triangle -uid 67,0 +uid 717,0 ro 90 va (VaSet vasetType 1 @@ -813,11 +821,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 68,0 +uid 718,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 69,0 +uid 719,0 va (VaSet font "Verdana,12,0" ) @@ -828,27 +836,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 70,0 +uid 720,0 va (VaSet font "Courier New,8,0" ) xt "44000,4000,60500,4800" -st "go2 : IN std_uLogic ;" +st "go2 : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "go2" t "std_uLogic" -o 3 -suid 4,0 +o 4 +suid 46,0 ) ) ) *70 (CptPort -uid 71,0 +uid 721,0 ps "OnEdgeStrategy" shape (Triangle -uid 72,0 +uid 722,0 ro 90 va (VaSet vasetType 1 @@ -857,11 +866,11 @@ fg "0,65535,0" xt "14250,21625,15000,22375" ) tg (CPTG -uid 73,0 +uid 723,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 74,0 +uid 724,0 va (VaSet font "Verdana,12,0" ) @@ -872,27 +881,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 75,0 +uid 725,0 va (VaSet font "Courier New,8,0" ) xt "44000,4800,60500,5600" -st "reset : IN std_ulogic ;" +st "reset : IN std_ulogic ; +" ) thePort (LogicalPort decl (Decl n "reset" t "std_ulogic" -o 4 -suid 5,0 +o 9 +suid 47,0 ) ) ) *71 (CptPort -uid 76,0 +uid 726,0 ps "OnEdgeStrategy" shape (Triangle -uid 77,0 +uid 727,0 ro 90 va (VaSet vasetType 1 @@ -901,11 +911,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 78,0 +uid 728,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 79,0 +uid 729,0 va (VaSet font "Verdana,12,0" ) @@ -916,27 +926,28 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 80,0 +uid 730,0 va (VaSet font "Courier New,8,0" ) xt "44000,5600,60500,6400" -st "restart : IN std_uLogic ;" +st "restart : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl n "restart" t "std_uLogic" -o 5 -suid 6,0 +o 6 +suid 48,0 ) ) ) *72 (CptPort -uid 81,0 +uid 731,0 ps "OnEdgeStrategy" shape (Triangle -uid 82,0 +uid 732,0 ro 90 va (VaSet vasetType 1 @@ -945,11 +956,11 @@ fg "0,65535,0" xt "14250,14625,15000,15375" ) tg (CPTG -uid 83,0 +uid 733,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 84,0 +uid 734,0 va (VaSet font "Verdana,12,0" ) @@ -960,20 +971,21 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 85,0 +uid 735,0 va (VaSet font "Courier New,8,0" ) xt "44000,6400,60500,7200" -st "unlock : IN std_ulogic ;" +st "unlock : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 decl (Decl n "unlock" t "std_ulogic" -o 6 -suid 7,0 +o 7 +suid 49,0 ) ) ) @@ -1403,10 +1415,12 @@ blo "0,1000" uid 50,0 va (VaSet ) -xt "0,1200,17500,4800" +xt "0,1200,17500,7200" st "LIBRARY ieee; USE ieee.std_logic_1164.all; - USE ieee.numeric_std.all;" + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" tm "PackageList" ) ] @@ -1684,6 +1698,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 294,0 +lastUid 749,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/compteur@up@down@rsync@all/interface b/Cursor/hds/compteur@up@down@rsync@all/interface index 020a1d0..d5a2ef4 100644 --- a/Cursor/hds/compteur@up@down@rsync@all/interface +++ b/Cursor/hds/compteur@up@down@rsync@all/interface @@ -30,7 +30,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 24,0 +suid 79,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -76,10 +76,10 @@ decl (Decl n "clock" t "std_ulogic" o 2 -suid 19,0 +suid 74,0 ) ) -uid 379,0 +uid 994,0 ) *15 (LogPort port (LogicalPort @@ -88,10 +88,10 @@ decl (Decl n "en" t "std_ulogic" o 7 -suid 20,0 +suid 75,0 ) ) -uid 381,0 +uid 996,0 ) *16 (LogPort port (LogicalPort @@ -99,13 +99,13 @@ lang 11 m 1 decl (Decl n "position20bit" -t "integer" +t "unsigned" b "(19 DOWNTO 0)" o 10 -suid 21,0 +suid 76,0 ) ) -uid 383,0 +uid 998,0 ) *17 (LogPort port (LogicalPort @@ -114,10 +114,10 @@ decl (Decl n "RaZ" t "std_ulogic" o 1 -suid 22,0 +suid 77,0 ) ) -uid 385,0 +uid 1000,0 ) *18 (LogPort port (LogicalPort @@ -126,10 +126,10 @@ decl (Decl n "reset" t "std_ulogic" o 4 -suid 23,0 +suid 78,0 ) ) -uid 387,0 +uid 1002,0 ) *19 (LogPort port (LogicalPort @@ -138,10 +138,10 @@ decl (Decl n "upnotdown" t "std_uLogic" o 5 -suid 24,0 +suid 79,0 ) ) -uid 389,0 +uid 1004,0 ) ] ) @@ -197,37 +197,37 @@ uid 113,0 litem &14 pos 0 dimension 20 -uid 380,0 +uid 995,0 ) *26 (MRCItem litem &15 pos 1 dimension 20 -uid 382,0 +uid 997,0 ) *27 (MRCItem litem &16 pos 2 dimension 20 -uid 384,0 +uid 999,0 ) *28 (MRCItem litem &17 pos 3 dimension 20 -uid 386,0 +uid 1001,0 ) *29 (MRCItem litem &18 pos 4 dimension 20 -uid 388,0 +uid 1003,0 ) *30 (MRCItem litem &19 pos 5 dimension 20 -uid 390,0 +uid 1005,0 ) ] ) @@ -502,7 +502,7 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -514,7 +514,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -542,7 +542,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -554,7 +554,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:51:42" +value "13:35:14" ) (vvPair variable "group" @@ -626,7 +626,7 @@ value "interface" ) (vvPair variable "time" -value "14:51:42" +value "13:35:14" ) (vvPair variable "unit" @@ -661,10 +661,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *64 (CptPort -uid 349,0 +uid 964,0 ps "OnEdgeStrategy" shape (Triangle -uid 350,0 +uid 965,0 ro 90 va (VaSet vasetType 1 @@ -673,11 +673,11 @@ fg "0,65535,0" xt "14250,23625,15000,24375" ) tg (CPTG -uid 351,0 +uid 966,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 352,0 +uid 967,0 va (VaSet font "Verdana,12,0" ) @@ -688,7 +688,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 353,0 +uid 968,0 va (VaSet font "Courier New,8,0" ) @@ -702,15 +702,15 @@ decl (Decl n "clock" t "std_ulogic" o 2 -suid 19,0 +suid 74,0 ) ) ) *65 (CptPort -uid 354,0 +uid 969,0 ps "OnEdgeStrategy" shape (Triangle -uid 355,0 +uid 970,0 ro 90 va (VaSet vasetType 1 @@ -719,11 +719,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 356,0 +uid 971,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 357,0 +uid 972,0 va (VaSet font "Verdana,12,0" ) @@ -734,7 +734,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 358,0 +uid 973,0 va (VaSet font "Courier New,8,0" ) @@ -748,15 +748,15 @@ decl (Decl n "en" t "std_ulogic" o 7 -suid 20,0 +suid 75,0 ) ) ) *66 (CptPort -uid 359,0 +uid 974,0 ps "OnEdgeStrategy" shape (Triangle -uid 360,0 +uid 975,0 ro 90 va (VaSet vasetType 1 @@ -765,11 +765,11 @@ fg "0,65535,0" xt "33000,10625,33750,11375" ) tg (CPTG -uid 361,0 +uid 976,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 362,0 +uid 977,0 va (VaSet font "Verdana,12,0" ) @@ -781,12 +781,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 363,0 +uid 978,0 va (VaSet font "Courier New,8,0" ) -xt "44000,6400,68000,7200" -st "position20bit : OUT integer (19 DOWNTO 0) +xt "44000,6400,68500,7200" +st "position20bit : OUT unsigned (19 DOWNTO 0) " ) thePort (LogicalPort @@ -794,18 +794,18 @@ lang 11 m 1 decl (Decl n "position20bit" -t "integer" +t "unsigned" b "(19 DOWNTO 0)" o 10 -suid 21,0 +suid 76,0 ) ) ) *67 (CptPort -uid 364,0 +uid 979,0 ps "OnEdgeStrategy" shape (Triangle -uid 365,0 +uid 980,0 ro 90 va (VaSet vasetType 1 @@ -814,11 +814,11 @@ fg "0,65535,0" xt "14250,15625,15000,16375" ) tg (CPTG -uid 366,0 +uid 981,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 367,0 +uid 982,0 va (VaSet font "Verdana,12,0" ) @@ -829,7 +829,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 368,0 +uid 983,0 va (VaSet font "Courier New,8,0" ) @@ -843,15 +843,15 @@ decl (Decl n "RaZ" t "std_ulogic" o 1 -suid 22,0 +suid 77,0 ) ) ) *68 (CptPort -uid 369,0 +uid 984,0 ps "OnEdgeStrategy" shape (Triangle -uid 370,0 +uid 985,0 ro 90 va (VaSet vasetType 1 @@ -860,11 +860,11 @@ fg "0,65535,0" xt "14250,21625,15000,22375" ) tg (CPTG -uid 371,0 +uid 986,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 372,0 +uid 987,0 va (VaSet font "Verdana,12,0" ) @@ -875,7 +875,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 373,0 +uid 988,0 va (VaSet font "Courier New,8,0" ) @@ -889,15 +889,15 @@ decl (Decl n "reset" t "std_ulogic" o 4 -suid 23,0 +suid 78,0 ) ) ) *69 (CptPort -uid 374,0 +uid 989,0 ps "OnEdgeStrategy" shape (Triangle -uid 375,0 +uid 990,0 ro 90 va (VaSet vasetType 1 @@ -906,11 +906,11 @@ fg "0,65535,0" xt "14250,12625,15000,13375" ) tg (CPTG -uid 376,0 +uid 991,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 377,0 +uid 992,0 va (VaSet font "Verdana,12,0" ) @@ -921,7 +921,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 378,0 +uid 993,0 va (VaSet font "Courier New,8,0" ) @@ -935,7 +935,7 @@ decl (Decl n "upnotdown" t "std_uLogic" o 5 -suid 24,0 +suid 79,0 ) ) ) @@ -1649,6 +1649,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 390,0 +lastUid 1005,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/compteur@up@down@rsync@all/struct.bd b/Cursor/hds/compteur@up@down@rsync@all/struct.bd index d3a0ff8..91c50da 100644 --- a/Cursor/hds/compteur@up@down@rsync@all/struct.bd +++ b/Cursor/hds/compteur@up@down@rsync@all/struct.bd @@ -138,7 +138,7 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -150,7 +150,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -178,7 +178,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -190,7 +190,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:19:51" +value "13:28:34" ) (vvPair variable "group" @@ -262,7 +262,7 @@ value "struct" ) (vvPair variable "time" -value "14:19:51" +value "13:28:34" ) (vvPair variable "unit" @@ -352,76 +352,12 @@ uid 22,0 va (VaSet font "Courier New,8,0" ) -xt "22000,3200,34500,4000" -st "clock : std_ulogic +xt "22000,3200,36500,4000" +st "clock : std_ulogic " ) ) -*3 (PortIoOut -uid 23,0 -shape (CompositeShape -uid 24,0 -va (VaSet -vasetType 1 -fg "0,0,32768" -) -optionalChildren [ -(Pentagon -uid 25,0 -sl 0 -ro 270 -xt "60500,7625,62000,8375" -) -(Line -uid 26,0 -sl 0 -ro 270 -xt "60000,8000,60500,8000" -pts [ -"60000,8000" -"60500,8000" -] -) -] -) -stc 0 -sf 1 -tg (WTG -uid 27,0 -ps "PortIoTextPlaceStrategy" -stg "STSignalDisplayStrategy" -f (Text -uid 28,0 -va (VaSet -) -xt "63000,7400,66800,8600" -st "dbus0" -blo "63000,8400" -tm "WireNameMgr" -) -) -) -*4 (Net -uid 35,0 -lang 11 -decl (Decl -n "dbus0" -t "integer" -b "(19 DOWNTO 0)" -o 6 -suid 2,0 -) -declText (MLText -uid 36,0 -va (VaSet -font "Courier New,8,0" -) -xt "22000,6400,39500,7200" -st "dbus0 : integer(19 DOWNTO 0) -" -) -) -*5 (PortIoIn +*3 (PortIoIn uid 37,0 shape (CompositeShape uid 38,0 @@ -466,7 +402,7 @@ tm "WireNameMgr" ) ) ) -*6 (Net +*4 (Net uid 49,0 lang 11 decl (Decl @@ -480,12 +416,12 @@ uid 50,0 va (VaSet font "Courier New,8,0" ) -xt "22000,4000,34500,4800" -st "en : std_ulogic +xt "22000,4000,36500,4800" +st "en : std_ulogic " ) ) -*7 (PortIoIn +*5 (PortIoIn uid 51,0 shape (CompositeShape uid 52,0 @@ -530,7 +466,7 @@ tm "WireNameMgr" ) ) ) -*8 (Net +*6 (Net uid 63,0 lang 11 decl (Decl @@ -544,12 +480,12 @@ uid 64,0 va (VaSet font "Courier New,8,0" ) -xt "22000,2400,34500,3200" -st "RaZ : std_ulogic +xt "22000,2400,36500,3200" +st "RaZ : std_ulogic " ) ) -*9 (PortIoIn +*7 (PortIoIn uid 65,0 shape (CompositeShape uid 66,0 @@ -594,7 +530,7 @@ tm "WireNameMgr" ) ) ) -*10 (Net +*8 (Net uid 77,0 lang 11 decl (Decl @@ -608,12 +544,12 @@ uid 78,0 va (VaSet font "Courier New,8,0" ) -xt "22000,4800,34500,5600" -st "reset : std_ulogic +xt "22000,4800,36500,5600" +st "reset : std_ulogic " ) ) -*11 (PortIoIn +*9 (PortIoIn uid 79,0 shape (CompositeShape uid 80,0 @@ -658,7 +594,7 @@ tm "WireNameMgr" ) ) ) -*12 (Net +*10 (Net uid 91,0 lang 11 decl (Decl @@ -672,15 +608,15 @@ uid 92,0 va (VaSet font "Courier New,8,0" ) -xt "22000,5600,34500,6400" -st "upnotdown : std_uLogic +xt "22000,5600,36500,6400" +st "upnotdown : std_uLogic " ) ) -*13 (Grouping +*11 (Grouping uid 105,0 optionalChildren [ -*14 (CommentText +*12 (CommentText uid 113,0 shape (Rectangle uid 114,0 @@ -714,7 +650,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*15 (CommentText +*13 (CommentText uid 110,0 shape (Rectangle uid 111,0 @@ -748,7 +684,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*16 (CommentText +*14 (CommentText uid 107,0 shape (Rectangle uid 108,0 @@ -782,7 +718,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*17 (CommentText +*15 (CommentText uid 134,0 shape (Rectangle uid 135,0 @@ -816,7 +752,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*18 (CommentText +*16 (CommentText uid 131,0 shape (Rectangle uid 132,0 @@ -850,7 +786,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*19 (CommentText +*17 (CommentText uid 128,0 shape (Rectangle uid 129,0 @@ -884,7 +820,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*20 (CommentText +*18 (CommentText uid 116,0 shape (Rectangle uid 117,0 @@ -918,7 +854,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*21 (CommentText +*19 (CommentText uid 122,0 shape (Rectangle uid 123,0 @@ -952,7 +888,7 @@ position 1 ignorePrefs 1 titleBlock 1 ) -*22 (CommentText +*20 (CommentText uid 119,0 shape (Rectangle uid 120,0 @@ -985,7 +921,7 @@ visibleWidth 20000 ignorePrefs 1 titleBlock 1 ) -*23 (CommentText +*21 (CommentText uid 125,0 shape (Rectangle uid 126,0 @@ -1032,13 +968,13 @@ xt "22000,44000,63000,49000" ) oxt "14000,66000,55000,71000" ) -*24 (Net +*22 (Net uid 267,0 lang 11 decl (Decl n "up" t "std_ulogic" -o 8 +o 10 suid 13,0 ) declText (MLText @@ -1046,18 +982,18 @@ uid 268,0 va (VaSet font "Courier New,8,0" ) -xt "22000,10000,38000,10800" -st "SIGNAL up : std_ulogic +xt "22000,10000,40000,10800" +st "SIGNAL up : std_ulogic " ) ) -*25 (Net +*23 (Net uid 301,0 lang 11 decl (Decl n "RCOi" t "std_ulogic" -o 10 +o 7 suid 18,0 ) declText (MLText @@ -1065,12 +1001,12 @@ uid 302,0 va (VaSet font "Courier New,8,0" ) -xt "22000,8400,38000,9200" -st "SIGNAL RCOi : std_ulogic +xt "22000,8400,40000,9200" +st "SIGNAL RCOi : std_ulogic " ) ) -*26 (Net +*24 (Net uid 727,0 lang 11 decl (Decl @@ -1084,15 +1020,15 @@ uid 728,0 va (VaSet font "Courier New,8,0" ) -xt "22000,9200,38000,10000" -st "SIGNAL eni : std_ulogic +xt "22000,9200,40000,10000" +st "SIGNAL eni : std_ulogic " ) ) -*27 (SaComponent +*25 (SaComponent uid 773,0 optionalChildren [ -*28 (CptPort +*26 (CptPort uid 745,0 ps "OnEdgeStrategy" shape (Triangle @@ -1128,7 +1064,7 @@ suid 1,0 ) ) ) -*29 (CptPort +*27 (CptPort uid 749,0 ps "OnEdgeStrategy" shape (Triangle @@ -1164,7 +1100,7 @@ suid 2,0 ) ) ) -*30 (CptPort +*28 (CptPort uid 753,0 ps "OnEdgeStrategy" shape (Triangle @@ -1203,7 +1139,7 @@ suid 3,0 ) ) ) -*31 (CptPort +*29 (CptPort uid 757,0 ps "OnEdgeStrategy" shape (Triangle @@ -1239,7 +1175,7 @@ suid 4,0 ) ) ) -*32 (CptPort +*30 (CptPort uid 761,0 ps "OnEdgeStrategy" shape (Triangle @@ -1277,7 +1213,7 @@ suid 5,0 ) ) ) -*33 (CptPort +*31 (CptPort uid 765,0 ps "OnEdgeStrategy" shape (Triangle @@ -1313,7 +1249,7 @@ suid 6,0 ) ) ) -*34 (CptPort +*32 (CptPort uid 769,0 ps "OnEdgeStrategy" shape (Triangle @@ -1366,7 +1302,7 @@ uid 775,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*35 (Text +*33 (Text uid 776,0 va (VaSet font "Verdana,9,1" @@ -1376,7 +1312,7 @@ st "Cursor" blo "29900,23800" tm "BdLibraryNameMgr" ) -*36 (Text +*34 (Text uid 777,0 va (VaSet font "Verdana,9,1" @@ -1386,7 +1322,7 @@ st "cpt4bit" blo "29900,25000" tm "CptNameMgr" ) -*37 (Text +*35 (Text uid 778,0 va (VaSet font "Verdana,9,1" @@ -1434,10 +1370,10 @@ sF 0 ) archFileType "UNKNOWN" ) -*38 (SaComponent +*36 (SaComponent uid 821,0 optionalChildren [ -*39 (CptPort +*37 (CptPort uid 831,0 ps "OnEdgeStrategy" shape (Triangle @@ -1472,7 +1408,7 @@ o 2 ) ) ) -*40 (CptPort +*38 (CptPort uid 835,0 ps "OnEdgeStrategy" shape (Triangle @@ -1507,7 +1443,7 @@ o 3 ) ) ) -*41 (CptPort +*39 (CptPort uid 839,0 ps "OnEdgeStrategy" shape (Triangle @@ -1545,7 +1481,7 @@ o 6 ) ) ) -*42 (CptPort +*40 (CptPort uid 843,0 ps "OnEdgeStrategy" shape (Triangle @@ -1580,7 +1516,7 @@ o 1 ) ) ) -*43 (CptPort +*41 (CptPort uid 847,0 ps "OnEdgeStrategy" shape (Triangle @@ -1617,7 +1553,7 @@ o 7 ) ) ) -*44 (CptPort +*42 (CptPort uid 851,0 ps "OnEdgeStrategy" shape (Triangle @@ -1652,7 +1588,7 @@ o 4 ) ) ) -*45 (CptPort +*43 (CptPort uid 855,0 ps "OnEdgeStrategy" shape (Triangle @@ -1704,7 +1640,7 @@ uid 823,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*46 (Text +*44 (Text uid 824,0 va (VaSet font "Verdana,9,1" @@ -1714,7 +1650,7 @@ st "Cursor" blo "29900,43800" tm "BdLibraryNameMgr" ) -*47 (Text +*45 (Text uid 825,0 va (VaSet font "Verdana,9,1" @@ -1724,7 +1660,7 @@ st "cpt4bit" blo "29900,45000" tm "CptNameMgr" ) -*48 (Text +*46 (Text uid 826,0 va (VaSet font "Verdana,9,1" @@ -1772,10 +1708,10 @@ sF 0 ) archFileType "UNKNOWN" ) -*49 (SaComponent +*47 (SaComponent uid 901,0 optionalChildren [ -*50 (CptPort +*48 (CptPort uid 911,0 ps "OnEdgeStrategy" shape (Triangle @@ -1810,7 +1746,7 @@ o 2 ) ) ) -*51 (CptPort +*49 (CptPort uid 915,0 ps "OnEdgeStrategy" shape (Triangle @@ -1845,7 +1781,7 @@ o 3 ) ) ) -*52 (CptPort +*50 (CptPort uid 919,0 ps "OnEdgeStrategy" shape (Triangle @@ -1883,7 +1819,7 @@ o 6 ) ) ) -*53 (CptPort +*51 (CptPort uid 923,0 ps "OnEdgeStrategy" shape (Triangle @@ -1918,7 +1854,7 @@ o 1 ) ) ) -*54 (CptPort +*52 (CptPort uid 927,0 ps "OnEdgeStrategy" shape (Triangle @@ -1955,7 +1891,7 @@ o 7 ) ) ) -*55 (CptPort +*53 (CptPort uid 931,0 ps "OnEdgeStrategy" shape (Triangle @@ -1990,7 +1926,7 @@ o 4 ) ) ) -*56 (CptPort +*54 (CptPort uid 935,0 ps "OnEdgeStrategy" shape (Triangle @@ -2042,7 +1978,7 @@ uid 903,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*57 (Text +*55 (Text uid 904,0 va (VaSet font "Verdana,9,1" @@ -2052,7 +1988,7 @@ st "Cursor" blo "29900,63800" tm "BdLibraryNameMgr" ) -*58 (Text +*56 (Text uid 905,0 va (VaSet font "Verdana,9,1" @@ -2062,7 +1998,7 @@ st "cpt4bit" blo "29900,65000" tm "CptNameMgr" ) -*59 (Text +*57 (Text uid 906,0 va (VaSet font "Verdana,9,1" @@ -2110,10 +2046,10 @@ sF 0 ) archFileType "UNKNOWN" ) -*60 (SaComponent +*58 (SaComponent uid 975,0 optionalChildren [ -*61 (CptPort +*59 (CptPort uid 985,0 ps "OnEdgeStrategy" shape (Triangle @@ -2148,7 +2084,7 @@ o 2 ) ) ) -*62 (CptPort +*60 (CptPort uid 989,0 ps "OnEdgeStrategy" shape (Triangle @@ -2183,7 +2119,7 @@ o 3 ) ) ) -*63 (CptPort +*61 (CptPort uid 993,0 ps "OnEdgeStrategy" shape (Triangle @@ -2221,7 +2157,7 @@ o 6 ) ) ) -*64 (CptPort +*62 (CptPort uid 997,0 ps "OnEdgeStrategy" shape (Triangle @@ -2256,7 +2192,7 @@ o 1 ) ) ) -*65 (CptPort +*63 (CptPort uid 1001,0 ps "OnEdgeStrategy" shape (Triangle @@ -2293,7 +2229,7 @@ o 7 ) ) ) -*66 (CptPort +*64 (CptPort uid 1005,0 ps "OnEdgeStrategy" shape (Triangle @@ -2328,7 +2264,7 @@ o 4 ) ) ) -*67 (CptPort +*65 (CptPort uid 1009,0 ps "OnEdgeStrategy" shape (Triangle @@ -2380,7 +2316,7 @@ uid 977,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*68 (Text +*66 (Text uid 978,0 va (VaSet font "Verdana,9,1" @@ -2390,7 +2326,7 @@ st "Cursor" blo "29900,83800" tm "BdLibraryNameMgr" ) -*69 (Text +*67 (Text uid 979,0 va (VaSet font "Verdana,9,1" @@ -2400,7 +2336,7 @@ st "cpt4bit" blo "29900,85000" tm "CptNameMgr" ) -*70 (Text +*68 (Text uid 980,0 va (VaSet font "Verdana,9,1" @@ -2448,10 +2384,10 @@ sF 0 ) archFileType "UNKNOWN" ) -*71 (SaComponent +*69 (SaComponent uid 1049,0 optionalChildren [ -*72 (CptPort +*70 (CptPort uid 1059,0 ps "OnEdgeStrategy" shape (Triangle @@ -2486,7 +2422,7 @@ o 2 ) ) ) -*73 (CptPort +*71 (CptPort uid 1063,0 ps "OnEdgeStrategy" shape (Triangle @@ -2521,7 +2457,7 @@ o 3 ) ) ) -*74 (CptPort +*72 (CptPort uid 1067,0 ps "OnEdgeStrategy" shape (Triangle @@ -2559,7 +2495,7 @@ o 6 ) ) ) -*75 (CptPort +*73 (CptPort uid 1071,0 ps "OnEdgeStrategy" shape (Triangle @@ -2594,7 +2530,7 @@ o 1 ) ) ) -*76 (CptPort +*74 (CptPort uid 1075,0 ps "OnEdgeStrategy" shape (Triangle @@ -2631,7 +2567,7 @@ o 7 ) ) ) -*77 (CptPort +*75 (CptPort uid 1079,0 ps "OnEdgeStrategy" shape (Triangle @@ -2666,7 +2602,7 @@ o 4 ) ) ) -*78 (CptPort +*76 (CptPort uid 1083,0 ps "OnEdgeStrategy" shape (Triangle @@ -2718,7 +2654,7 @@ uid 1051,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*79 (Text +*77 (Text uid 1052,0 va (VaSet font "Verdana,9,1" @@ -2728,7 +2664,7 @@ st "Cursor" blo "29900,103800" tm "BdLibraryNameMgr" ) -*80 (Text +*78 (Text uid 1053,0 va (VaSet font "Verdana,9,1" @@ -2738,7 +2674,7 @@ st "cpt4bit" blo "29900,105000" tm "CptNameMgr" ) -*81 (Text +*79 (Text uid 1054,0 va (VaSet font "Verdana,9,1" @@ -2786,10 +2722,10 @@ sF 0 ) archFileType "UNKNOWN" ) -*82 (SaComponent +*80 (SaComponent uid 1131,0 optionalChildren [ -*83 (CptPort +*81 (CptPort uid 1123,0 ps "OnEdgeStrategy" shape (Triangle @@ -2833,7 +2769,7 @@ suid 1,0 ) ) ) -*84 (CptPort +*82 (CptPort uid 1127,0 ps "OnEdgeStrategy" shape (Triangle @@ -2899,7 +2835,7 @@ uid 1133,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*85 (Text +*83 (Text uid 1134,0 va (VaSet font "Verdana,8,1" @@ -2909,7 +2845,7 @@ st "gates" blo "10910,27500" tm "BdLibraryNameMgr" ) -*86 (Text +*84 (Text uid 1135,0 va (VaSet font "Verdana,8,1" @@ -2919,7 +2855,7 @@ st "bufferUlogic" blo "10910,28500" tm "CptNameMgr" ) -*87 (Text +*85 (Text uid 1136,0 va (VaSet font "Verdana,8,1" @@ -2942,8 +2878,7 @@ va (VaSet font "Verdana,8,0" ) xt "10000,29600,24100,30600" -st "delay = gateDelay ( time ) -" +st "delay = gateDelay ( time ) " ) header "" ) @@ -2976,6 +2911,70 @@ sT 1 ) archFileType "UNKNOWN" ) +*86 (PortIoOut +uid 1427,0 +shape (CompositeShape +uid 1428,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1429,0 +sl 0 +ro 270 +xt "62500,7625,64000,8375" +) +(Line +uid 1430,0 +sl 0 +ro 270 +xt "62000,8000,62500,8000" +pts [ +"62000,8000" +"62500,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 1431,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1432,0 +va (VaSet +) +xt "65000,7400,73100,8600" +st "position20bit" +blo "65000,8400" +tm "WireNameMgr" +) +) +) +*87 (Net +uid 1439,0 +lang 11 +decl (Decl +n "position20bit" +t "std_ulogic_vector" +b "(19 DOWNTO 0)" +o 10 +suid 25,0 +) +declText (MLText +uid 1440,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,6400,47000,7200" +st "position20bit : std_ulogic_vector(19 DOWNTO 0) +" +) +) *88 (Wire uid 15,0 shape (OrthoPolyLine @@ -3013,126 +3012,6 @@ tm "WireNameMgr" on &2 ) *89 (Wire -uid 29,0 -optionalChildren [ -*90 (Ripper -uid 1161,0 -ps "OnConnectorStrategy" -shape (Line2D -pts [ -"57000,8000" -"58000,9000" -] -uid 1162,0 -va (VaSet -vasetType 3 -lineWidth 2 -) -xt "57000,8000,58000,9000" -) -) -*91 (Ripper -uid 1167,0 -ps "OnConnectorStrategy" -shape (Line2D -pts [ -"54000,8000" -"55000,9000" -] -uid 1168,0 -va (VaSet -vasetType 3 -lineWidth 2 -) -xt "54000,8000,55000,9000" -) -) -*92 (Ripper -uid 1173,0 -ps "OnConnectorStrategy" -shape (Line2D -pts [ -"51000,8000" -"52000,9000" -] -uid 1174,0 -va (VaSet -vasetType 3 -lineWidth 2 -) -xt "51000,8000,52000,9000" -) -) -*93 (Ripper -uid 1179,0 -ps "OnConnectorStrategy" -shape (Line2D -pts [ -"48000,8000" -"49000,9000" -] -uid 1180,0 -va (VaSet -vasetType 3 -lineWidth 2 -) -xt "48000,8000,49000,9000" -) -) -*94 (Ripper -uid 1185,0 -ps "OnConnectorStrategy" -shape (Line2D -pts [ -"45000,8000" -"46000,9000" -] -uid 1186,0 -va (VaSet -vasetType 3 -lineWidth 2 -) -xt "45000,8000,46000,9000" -) -) -] -shape (OrthoPolyLine -uid 30,0 -va (VaSet -vasetType 3 -lineWidth 2 -) -xt "42000,8000,60000,8000" -pts [ -"60000,8000" -"42000,8000" -] -) -start &3 -sat 32 -eat 16 -sty 1 -st 0 -sf 1 -si 0 -tg (WTG -uid 33,0 -ps "ConnStartEndStrategy" -stg "STSignalDisplayStrategy" -f (Text -uid 34,0 -va (VaSet -isHidden 1 -) -xt "59000,6800,67800,8000" -st "dbus0 : (19:0)" -blo "59000,7800" -tm "WireNameMgr" -) -) -on &4 -) -*95 (Wire uid 43,0 shape (OrthoPolyLine uid 44,0 @@ -3145,7 +3024,7 @@ pts [ "10000,12000" ] ) -start &5 +start &3 sat 32 eat 16 st 0 @@ -3166,9 +3045,9 @@ blo "2000,11800" tm "WireNameMgr" ) ) -on &6 +on &4 ) -*96 (Wire +*90 (Wire uid 57,0 shape (OrthoPolyLine uid 58,0 @@ -3181,7 +3060,7 @@ pts [ "10000,16000" ] ) -start &7 +start &5 sat 32 eat 16 st 0 @@ -3202,9 +3081,9 @@ blo "2000,15800" tm "WireNameMgr" ) ) -on &8 +on &6 ) -*97 (Wire +*91 (Wire uid 71,0 shape (OrthoPolyLine uid 72,0 @@ -3217,7 +3096,7 @@ pts [ "10000,31000" ] ) -start &9 +start &7 sat 32 eat 16 st 0 @@ -3238,9 +3117,9 @@ blo "2000,30800" tm "WireNameMgr" ) ) -on &10 +on &8 ) -*98 (Wire +*92 (Wire uid 85,0 shape (OrthoPolyLine uid 86,0 @@ -3253,8 +3132,8 @@ pts [ "10000,24000" ] ) -start &11 -end &83 +start &9 +end &81 sat 32 eat 32 st 0 @@ -3275,9 +3154,9 @@ blo "2000,23800" tm "WireNameMgr" ) ) -on &12 +on &10 ) -*99 (Wire +*93 (Wire uid 677,0 shape (OrthoPolyLine uid 678,0 @@ -3290,7 +3169,7 @@ pts [ "26250,30000" ] ) -end &33 +end &31 sat 16 eat 32 st 0 @@ -3310,9 +3189,9 @@ blo "23000,29800" tm "WireNameMgr" ) ) -on &10 +on &8 ) -*100 (Wire +*94 (Wire uid 685,0 shape (OrthoPolyLine uid 686,0 @@ -3325,7 +3204,7 @@ pts [ "26250,17000" ] ) -end &34 +end &32 sat 16 eat 32 st 0 @@ -3345,9 +3224,9 @@ blo "24000,16800" tm "WireNameMgr" ) ) -on &24 +on &22 ) -*101 (Wire +*95 (Wire uid 693,0 shape (OrthoPolyLine uid 694,0 @@ -3360,7 +3239,7 @@ pts [ "26250,19000" ] ) -end &31 +end &29 sat 16 eat 32 st 0 @@ -3380,9 +3259,9 @@ blo "24000,18800" tm "WireNameMgr" ) ) -on &8 +on &6 ) -*102 (Wire +*96 (Wire uid 701,0 shape (OrthoPolyLine uid 702,0 @@ -3395,7 +3274,7 @@ pts [ "26250,29000" ] ) -end &28 +end &26 sat 16 eat 32 st 0 @@ -3417,7 +3296,7 @@ tm "WireNameMgr" ) on &2 ) -*103 (Wire +*97 (Wire uid 709,0 shape (OrthoPolyLine uid 710,0 @@ -3430,7 +3309,7 @@ pts [ "34000,13000" ] ) -start &32 +start &30 sat 32 eat 16 st 0 @@ -3451,9 +3330,9 @@ blo "33800,16000" tm "WireNameMgr" ) ) -on &25 +on &23 ) -*104 (Wire +*98 (Wire uid 719,0 shape (OrthoPolyLine uid 720,0 @@ -3466,8 +3345,8 @@ pts [ "34000,33750" ] ) -start &43 -end &29 +start &41 +end &27 sat 32 eat 32 st 0 @@ -3488,9 +3367,9 @@ blo "33800,35000" tm "WireNameMgr" ) ) -on &26 +on &24 ) -*105 (Wire +*99 (Wire uid 859,0 shape (OrthoPolyLine uid 860,0 @@ -3503,7 +3382,7 @@ pts [ "26250,37000" ] ) -end &45 +end &43 sat 16 eat 32 st 0 @@ -3523,9 +3402,9 @@ blo "24000,36800" tm "WireNameMgr" ) ) -on &24 +on &22 ) -*106 (Wire +*100 (Wire uid 865,0 shape (OrthoPolyLine uid 866,0 @@ -3538,7 +3417,7 @@ pts [ "26250,50000" ] ) -end &44 +end &42 sat 16 eat 32 st 0 @@ -3558,9 +3437,9 @@ blo "23000,49800" tm "WireNameMgr" ) ) -on &10 +on &8 ) -*107 (Wire +*101 (Wire uid 871,0 shape (OrthoPolyLine uid 872,0 @@ -3573,7 +3452,7 @@ pts [ "26250,39000" ] ) -end &42 +end &40 sat 16 eat 32 st 0 @@ -3593,9 +3472,9 @@ blo "24000,38800" tm "WireNameMgr" ) ) -on &8 +on &6 ) -*108 (Wire +*102 (Wire uid 877,0 shape (OrthoPolyLine uid 878,0 @@ -3608,7 +3487,7 @@ pts [ "26250,49000" ] ) -end &39 +end &37 sat 16 eat 32 st 0 @@ -3630,7 +3509,7 @@ tm "WireNameMgr" ) on &2 ) -*109 (Wire +*103 (Wire uid 889,0 shape (OrthoPolyLine uid 890,0 @@ -3643,8 +3522,8 @@ pts [ "34000,53750" ] ) -start &54 -end &40 +start &52 +end &38 sat 32 eat 32 st 0 @@ -3665,9 +3544,9 @@ blo "33800,55000" tm "WireNameMgr" ) ) -on &26 +on &24 ) -*110 (Wire +*104 (Wire uid 939,0 shape (OrthoPolyLine uid 940,0 @@ -3680,7 +3559,7 @@ pts [ "26250,70000" ] ) -end &55 +end &53 sat 16 eat 32 st 0 @@ -3700,9 +3579,9 @@ blo "23000,69800" tm "WireNameMgr" ) ) -on &10 +on &8 ) -*111 (Wire +*105 (Wire uid 945,0 shape (OrthoPolyLine uid 946,0 @@ -3715,7 +3594,7 @@ pts [ "26250,57000" ] ) -end &56 +end &54 sat 16 eat 32 st 0 @@ -3735,9 +3614,9 @@ blo "24000,56800" tm "WireNameMgr" ) ) -on &24 +on &22 ) -*112 (Wire +*106 (Wire uid 951,0 shape (OrthoPolyLine uid 952,0 @@ -3750,7 +3629,7 @@ pts [ "26250,59000" ] ) -end &53 +end &51 sat 16 eat 32 st 0 @@ -3770,9 +3649,9 @@ blo "24000,58800" tm "WireNameMgr" ) ) -on &8 +on &6 ) -*113 (Wire +*107 (Wire uid 957,0 shape (OrthoPolyLine uid 958,0 @@ -3785,7 +3664,7 @@ pts [ "26250,69000" ] ) -end &50 +end &48 sat 16 eat 32 st 0 @@ -3807,7 +3686,7 @@ tm "WireNameMgr" ) on &2 ) -*114 (Wire +*108 (Wire uid 963,0 shape (OrthoPolyLine uid 964,0 @@ -3820,8 +3699,8 @@ pts [ "34000,73750" ] ) -start &65 -end &51 +start &63 +end &49 sat 32 eat 32 st 0 @@ -3842,9 +3721,9 @@ blo "33800,75000" tm "WireNameMgr" ) ) -on &26 +on &24 ) -*115 (Wire +*109 (Wire uid 1013,0 shape (OrthoPolyLine uid 1014,0 @@ -3857,7 +3736,7 @@ pts [ "26250,90000" ] ) -end &66 +end &64 sat 16 eat 32 st 0 @@ -3877,9 +3756,9 @@ blo "23000,89800" tm "WireNameMgr" ) ) -on &10 +on &8 ) -*116 (Wire +*110 (Wire uid 1019,0 shape (OrthoPolyLine uid 1020,0 @@ -3892,7 +3771,7 @@ pts [ "26250,77000" ] ) -end &67 +end &65 sat 16 eat 32 st 0 @@ -3912,9 +3791,9 @@ blo "24000,76800" tm "WireNameMgr" ) ) -on &24 +on &22 ) -*117 (Wire +*111 (Wire uid 1025,0 shape (OrthoPolyLine uid 1026,0 @@ -3927,7 +3806,7 @@ pts [ "26250,79000" ] ) -end &64 +end &62 sat 16 eat 32 st 0 @@ -3947,9 +3826,9 @@ blo "24000,78800" tm "WireNameMgr" ) ) -on &8 +on &6 ) -*118 (Wire +*112 (Wire uid 1031,0 shape (OrthoPolyLine uid 1032,0 @@ -3962,7 +3841,7 @@ pts [ "26250,89000" ] ) -end &61 +end &59 sat 16 eat 32 st 0 @@ -3984,7 +3863,7 @@ tm "WireNameMgr" ) on &2 ) -*119 (Wire +*113 (Wire uid 1037,0 shape (OrthoPolyLine uid 1038,0 @@ -3997,8 +3876,8 @@ pts [ "34000,93750" ] ) -start &76 -end &62 +start &74 +end &60 sat 32 eat 32 st 0 @@ -4019,9 +3898,9 @@ blo "33800,95000" tm "WireNameMgr" ) ) -on &26 +on &24 ) -*120 (Wire +*114 (Wire uid 1087,0 shape (OrthoPolyLine uid 1088,0 @@ -4034,7 +3913,7 @@ pts [ "26250,110000" ] ) -end &77 +end &75 sat 16 eat 32 st 0 @@ -4054,9 +3933,9 @@ blo "23000,109800" tm "WireNameMgr" ) ) -on &10 +on &8 ) -*121 (Wire +*115 (Wire uid 1093,0 shape (OrthoPolyLine uid 1094,0 @@ -4069,7 +3948,7 @@ pts [ "26250,97000" ] ) -end &78 +end &76 sat 16 eat 32 st 0 @@ -4089,9 +3968,9 @@ blo "24000,96800" tm "WireNameMgr" ) ) -on &24 +on &22 ) -*122 (Wire +*116 (Wire uid 1099,0 shape (OrthoPolyLine uid 1100,0 @@ -4104,7 +3983,7 @@ pts [ "26250,99000" ] ) -end &75 +end &73 sat 16 eat 32 st 0 @@ -4124,9 +4003,9 @@ blo "24000,98800" tm "WireNameMgr" ) ) -on &8 +on &6 ) -*123 (Wire +*117 (Wire uid 1105,0 shape (OrthoPolyLine uid 1106,0 @@ -4139,7 +4018,7 @@ pts [ "26250,109000" ] ) -end &72 +end &70 sat 16 eat 32 st 0 @@ -4161,7 +4040,7 @@ tm "WireNameMgr" ) on &2 ) -*124 (Wire +*118 (Wire uid 1111,0 shape (OrthoPolyLine uid 1112,0 @@ -4174,7 +4053,7 @@ pts [ "34000,113750" ] ) -end &73 +end &71 sat 16 eat 32 st 0 @@ -4195,9 +4074,9 @@ blo "33800,115000" tm "WireNameMgr" ) ) -on &6 +on &4 ) -*125 (Wire +*119 (Wire uid 1145,0 shape (OrthoPolyLine uid 1146,0 @@ -4210,7 +4089,7 @@ pts [ "18000,24000" ] ) -start &84 +start &82 sat 32 eat 16 stc 0 @@ -4231,6 +4110,7 @@ blo "17000,23800" tm "WireNameMgr" ) s (Text +uid 1266,0 va (VaSet isHidden 1 ) @@ -4239,9 +4119,9 @@ blo "17000,24000" tm "SignalTypeMgr" ) ) -on &24 +on &22 ) -*126 (Wire +*120 (Wire uid 1157,0 shape (OrthoPolyLine uid 1158,0 @@ -4256,8 +4136,23 @@ pts [ "58000,9000" ] ) -start &74 -end &90 +start &72 +end *121 (Ripper +uid 1161,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"57000,8000" +"58000,9000" +] +uid 1162,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "57000,8000,58000,9000" +) +) sat 32 eat 32 sty 1 @@ -4274,15 +4169,15 @@ f (Text uid 1160,0 va (VaSet ) -xt "42750,103800,49850,105000" -st "dbus0(3:0)" +xt "42750,103800,53450,105000" +st "position20bit(3:0)" blo "42750,104800" tm "WireNameMgr" ) ) -on &4 +on &87 ) -*127 (Wire +*122 (Wire uid 1163,0 shape (OrthoPolyLine uid 1164,0 @@ -4297,8 +4192,23 @@ pts [ "55000,9000" ] ) -start &63 -end &91 +start &61 +end *123 (Ripper +uid 1167,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"54000,8000" +"55000,9000" +] +uid 1168,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "54000,8000,55000,9000" +) +) sat 32 eat 32 sty 1 @@ -4315,15 +4225,15 @@ f (Text uid 1166,0 va (VaSet ) -xt "42750,83800,49850,85000" -st "dbus0(7:4)" +xt "42750,83800,53450,85000" +st "position20bit(7:4)" blo "42750,84800" tm "WireNameMgr" ) ) -on &4 +on &87 ) -*128 (Wire +*124 (Wire uid 1169,0 shape (OrthoPolyLine uid 1170,0 @@ -4338,8 +4248,23 @@ pts [ "52000,9000" ] ) -start &52 -end &92 +start &50 +end *125 (Ripper +uid 1173,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"51000,8000" +"52000,9000" +] +uid 1174,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51000,8000,52000,9000" +) +) sat 32 eat 32 sty 1 @@ -4356,15 +4281,15 @@ f (Text uid 1172,0 va (VaSet ) -xt "42750,63800,50550,65000" -st "dbus0(11:8)" +xt "42750,63800,54150,65000" +st "position20bit(11:8)" blo "42750,64800" tm "WireNameMgr" ) ) -on &4 +on &87 ) -*129 (Wire +*126 (Wire uid 1175,0 shape (OrthoPolyLine uid 1176,0 @@ -4379,8 +4304,23 @@ pts [ "49000,9000" ] ) -start &41 -end &93 +start &39 +end *127 (Ripper +uid 1179,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"48000,8000" +"49000,9000" +] +uid 1180,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "48000,8000,49000,9000" +) +) sat 32 eat 32 sty 1 @@ -4397,15 +4337,15 @@ f (Text uid 1178,0 va (VaSet ) -xt "42750,43800,51250,45000" -st "dbus0(15:12)" +xt "42750,43800,55550,45000" +st "position20bit(15:12)" blo "42750,44800" tm "WireNameMgr" ) ) -on &4 +on &87 ) -*130 (Wire +*128 (Wire uid 1181,0 shape (OrthoPolyLine uid 1182,0 @@ -4420,8 +4360,23 @@ pts [ "46000,9000" ] ) -start &30 -end &94 +start &28 +end *129 (Ripper +uid 1185,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"45000,8000" +"46000,9000" +] +uid 1186,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "45000,8000,46000,9000" +) +) sat 32 eat 32 sty 1 @@ -4438,13 +4393,59 @@ f (Text uid 1184,0 va (VaSet ) -xt "42750,23800,51250,25000" -st "dbus0(19:16)" +xt "42750,23800,55550,25000" +st "position20bit(19:16)" blo "42750,24800" tm "WireNameMgr" ) ) -on &4 +on &87 +) +*130 (Wire +uid 1433,0 +optionalChildren [ +&121 +&123 +&125 +&127 +&129 +] +shape (OrthoPolyLine +uid 1434,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "43000,8000,62000,8000" +pts [ +"43000,8000" +"62000,8000" +] +) +end &86 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1437,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1438,0 +va (VaSet +isHidden 1 +) +xt "61000,6800,69100,8000" +st "position20bit" +blo "61000,7800" +tm "WireNameMgr" +) +) +on &87 ) ] bg "65535,65535,65535" @@ -4559,12 +4560,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "-8,-8,1544,928" -viewArea "-14662,-4726,110759,73650" -cachedDiagramExtent "-9000,0,67800,118400" +windowSize "0,24,1537,960" +viewArea "7700,-4800,87549,42780" +cachedDiagramExtent "-9000,0,73100,118400" hasePageBreakOrigin 1 pageBreakOrigin "-10000,0" -lastUid 1186,0 +lastUid 1521,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -5604,7 +5605,7 @@ tm "BdDeclarativeTextMgr" ) commonDM (CommonDM ldm (LogicalDM -suid 24,0 +suid 25,0 usingSuid 1 emptyRow *162 (LEmptyRow ) @@ -5706,44 +5707,30 @@ uid 101,0 *180 (LeafLogPort port (LogicalPort lang 11 -m 1 +m 4 decl (Decl -n "dbus0" -t "integer" -b "(19 DOWNTO 0)" -o 6 -suid 2,0 +n "up" +t "std_ulogic" +o 10 +suid 13,0 ) ) -uid 103,0 +uid 305,0 ) *181 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl -n "up" -t "std_ulogic" -o 8 -suid 13,0 -) -) -uid 305,0 -) -*182 (LeafLogPort -port (LogicalPort -lang 11 -m 4 -decl (Decl n "RCOi" t "std_ulogic" -o 10 +o 7 suid 18,0 ) ) uid 309,0 ) -*183 (LeafLogPort +*182 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -5756,6 +5743,20 @@ suid 21,0 ) uid 741,0 ) +*183 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "position20bit" +t "std_ulogic_vector" +b "(19 DOWNTO 0)" +o 10 +suid 25,0 +) +) +uid 1426,0 +) ] ) pdm (PhysicalDM @@ -5808,58 +5809,58 @@ uid 168,0 ) *189 (MRCItem litem &175 -pos 0 +pos 3 dimension 20 uid 94,0 ) *190 (MRCItem litem &176 -pos 1 +pos 0 dimension 20 uid 96,0 ) *191 (MRCItem litem &177 -pos 2 +pos 1 dimension 20 uid 98,0 ) *192 (MRCItem litem &178 -pos 3 +pos 4 dimension 20 uid 100,0 ) *193 (MRCItem litem &179 -pos 4 +pos 5 dimension 20 uid 102,0 ) *194 (MRCItem litem &180 -pos 5 -dimension 20 -uid 104,0 -) -*195 (MRCItem -litem &181 pos 6 dimension 20 uid 306,0 ) -*196 (MRCItem -litem &182 +*195 (MRCItem +litem &181 pos 7 dimension 20 uid 310,0 ) -*197 (MRCItem -litem &183 +*196 (MRCItem +litem &182 pos 8 dimension 20 uid 742,0 ) +*197 (MRCItem +litem &183 +pos 2 +dimension 20 +uid 1425,0 +) ] ) sheetCol (SheetCol @@ -6085,5 +6086,5 @@ vaOverrides [ uid 178,0 type 1 ) -activeModelName "BlockDiag" +activeModelName "BlockDiag:CDM" ) diff --git a/Cursor/hds/convertissor_position/fsm.sm b/Cursor/hds/convertissor_position/fsm.sm index fc6ba10..56bb655 100644 --- a/Cursor/hds/convertissor_position/fsm.sm +++ b/Cursor/hds/convertissor_position/fsm.sm @@ -87,7 +87,7 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -99,7 +99,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -127,7 +127,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -139,7 +139,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "16:00:33" +value "13:28:54" ) (vvPair variable "group" @@ -211,7 +211,7 @@ value "fsm" ) (vvPair variable "time" -value "16:00:33" +value "13:28:54" ) (vvPair variable "unit" @@ -2306,8 +2306,8 @@ tm "SmCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,0,1185,927" -viewArea "18600,-4700,76020,43240" +windowSize "76,33,1263,960" +viewArea "18600,-4700,76140,41560" cachedDiagramExtent "0,-1000,86600,47000" hasePageBreakOrigin 1 pageBreakOrigin "0,-2000" @@ -2682,7 +2682,7 @@ stateOrder [ name "csm" ) ] -lastUid 366,0 +lastUid 424,0 commonDM (CommonDM ldm (LogicalDM emptyRow *61 (LEmptyRow @@ -2745,7 +2745,7 @@ port (LogicalPort lang 11 decl (Decl n "position20bit" -t "integer" +t "std_ulogic_vector" b "(19 DOWNTO 0)" o 3 ) @@ -4008,6 +4008,6 @@ pts [ ] ) ) -activeModelName "StateMachine" +activeModelName "StateMachine:CDM" LanguageMgr "Vhdl2008LangMgr" ) diff --git a/Cursor/hds/convertissor_position/interface b/Cursor/hds/convertissor_position/interface index db48416..30824bb 100644 --- a/Cursor/hds/convertissor_position/interface +++ b/Cursor/hds/convertissor_position/interface @@ -30,7 +30,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 21,0 +suid 46,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -75,11 +75,11 @@ lang 11 decl (Decl n "clk" t "unsigned" -o 1 -suid 17,0 +o 10 +suid 42,0 ) ) -uid 383,0 +uid 719,0 ) *15 (LogPort port (LogicalPort @@ -87,11 +87,11 @@ lang 11 decl (Decl n "en" t "std_ulogic" -o 2 -suid 18,0 +o 7 +suid 43,0 ) ) -uid 385,0 +uid 721,0 ) *16 (LogPort port (LogicalPort @@ -101,24 +101,24 @@ decl (Decl n "Position" t "unsigned" b "(15 DOWNTO 0)" -o 5 -suid 19,0 +o 6 +suid 44,0 ) ) -uid 387,0 +uid 723,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "position20bit" -t "integer" +t "unsigned" b "(19 DOWNTO 0)" -o 3 -suid 20,0 +o 10 +suid 45,0 ) ) -uid 389,0 +uid 725,0 ) *18 (LogPort port (LogicalPort @@ -126,11 +126,11 @@ lang 11 decl (Decl n "rst" t "unsigned" -o 4 -suid 21,0 +o 11 +suid 46,0 ) ) -uid 391,0 +uid 727,0 ) ] ) @@ -186,31 +186,31 @@ uid 85,0 litem &14 pos 0 dimension 20 -uid 384,0 +uid 720,0 ) *25 (MRCItem litem &15 pos 1 dimension 20 -uid 386,0 +uid 722,0 ) *26 (MRCItem litem &16 pos 2 dimension 20 -uid 388,0 +uid 724,0 ) *27 (MRCItem litem &17 pos 3 dimension 20 -uid 390,0 +uid 726,0 ) *28 (MRCItem litem &18 pos 4 dimension 20 -uid 392,0 +uid 728,0 ) ] ) @@ -485,7 +485,7 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -497,7 +497,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -525,7 +525,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -537,7 +537,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:07:48" +value "13:35:14" ) (vvPair variable "group" @@ -609,7 +609,7 @@ value "interface" ) (vvPair variable "time" -value "15:07:48" +value "13:35:14" ) (vvPair variable "unit" @@ -644,10 +644,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *62 (CptPort -uid 358,0 +uid 694,0 ps "OnEdgeStrategy" shape (Triangle -uid 359,0 +uid 695,0 ro 90 va (VaSet vasetType 1 @@ -656,11 +656,11 @@ fg "0,65535,0" xt "14250,13625,15000,14375" ) tg (CPTG -uid 360,0 +uid 696,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 361,0 +uid 697,0 va (VaSet font "Verdana,12,0" ) @@ -671,7 +671,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 362,0 +uid 698,0 va (VaSet font "Courier New,8,0" ) @@ -684,16 +684,16 @@ lang 11 decl (Decl n "clk" t "unsigned" -o 1 -suid 17,0 +o 10 +suid 42,0 ) ) ) *63 (CptPort -uid 363,0 +uid 699,0 ps "OnEdgeStrategy" shape (Triangle -uid 364,0 +uid 700,0 ro 180 va (VaSet vasetType 1 @@ -702,11 +702,11 @@ fg "0,65535,0" xt "17625,5250,18375,6000" ) tg (CPTG -uid 365,0 +uid 701,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 366,0 +uid 702,0 ro 270 va (VaSet font "Verdana,12,0" @@ -719,7 +719,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 367,0 +uid 703,0 va (VaSet font "Courier New,8,0" ) @@ -732,16 +732,16 @@ lang 11 decl (Decl n "en" t "std_ulogic" -o 2 -suid 18,0 +o 7 +suid 43,0 ) ) ) *64 (CptPort -uid 368,0 +uid 704,0 ps "OnEdgeStrategy" shape (Triangle -uid 369,0 +uid 705,0 ro 90 va (VaSet vasetType 1 @@ -750,11 +750,11 @@ fg "0,65535,0" xt "23000,8625,23750,9375" ) tg (CPTG -uid 370,0 +uid 706,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 371,0 +uid 707,0 va (VaSet font "Verdana,12,0" ) @@ -766,7 +766,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 372,0 +uid 708,0 va (VaSet font "Courier New,8,0" ) @@ -781,16 +781,16 @@ decl (Decl n "Position" t "unsigned" b "(15 DOWNTO 0)" -o 5 -suid 19,0 +o 6 +suid 44,0 ) ) ) *65 (CptPort -uid 373,0 +uid 709,0 ps "OnEdgeStrategy" shape (Triangle -uid 374,0 +uid 710,0 ro 90 va (VaSet vasetType 1 @@ -799,11 +799,11 @@ fg "0,65535,0" xt "14250,8625,15000,9375" ) tg (CPTG -uid 375,0 +uid 711,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 376,0 +uid 712,0 va (VaSet font "Verdana,12,0" ) @@ -814,30 +814,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 377,0 +uid 713,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,69000,4800" -st "position20bit : IN integer (19 DOWNTO 0) ; +xt "44000,4000,69500,4800" +st "position20bit : IN unsigned (19 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "position20bit" -t "integer" +t "unsigned" b "(19 DOWNTO 0)" -o 3 -suid 20,0 +o 10 +suid 45,0 ) ) ) *66 (CptPort -uid 378,0 +uid 714,0 ps "OnEdgeStrategy" shape (Triangle -uid 379,0 +uid 715,0 ro 90 va (VaSet vasetType 1 @@ -846,11 +846,11 @@ fg "0,65535,0" xt "14250,14625,15000,15375" ) tg (CPTG -uid 380,0 +uid 716,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 381,0 +uid 717,0 va (VaSet font "Verdana,12,0" ) @@ -861,7 +861,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 382,0 +uid 718,0 va (VaSet font "Courier New,8,0" ) @@ -874,8 +874,8 @@ lang 11 decl (Decl n "rst" t "unsigned" -o 4 -suid 21,0 +o 11 +suid 46,0 ) ) ) @@ -1589,6 +1589,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 415,0 +lastUid 728,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/cpt4bit/struct.bd b/Cursor/hds/cpt4bit/struct.bd index cf02b36..18ec574 100644 --- a/Cursor/hds/cpt4bit/struct.bd +++ b/Cursor/hds/cpt4bit/struct.bd @@ -115,7 +115,7 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -127,7 +127,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -155,7 +155,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -167,7 +167,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:11:11" +value "13:37:10" ) (vvPair variable "group" @@ -239,7 +239,7 @@ value "struct" ) (vvPair variable "time" -value "14:11:11" +value "13:37:10" ) (vvPair variable "unit" @@ -283,16 +283,16 @@ optionalChildren [ uid 11,0 sl 0 ro 270 -xt "-2000,7625,-500,8375" +xt "-2000,28625,-500,29375" ) (Line uid 12,0 sl 0 ro 270 -xt "-500,8000,0,8000" +xt "-500,29000,0,29000" pts [ -"-500,8000" -"0,8000" +"-500,29000" +"0,29000" ] ) ] @@ -307,10 +307,10 @@ f (Text uid 14,0 va (VaSet ) -xt "-6400,7400,-3000,8600" +xt "-6400,28400,-3000,29600" st "clock" ju 2 -blo "-3000,8400" +blo "-3000,29400" tm "WireNameMgr" ) ) @@ -447,7 +447,7 @@ uid 49,0 lang 11 decl (Decl n "Qi" -t "integer" +t "unsigned" b "(3 DOWNTO 0)" o 6 suid 3,0 @@ -457,8 +457,8 @@ uid 50,0 va (VaSet font "Courier New,8,0" ) -xt "22000,-4600,37000,-3800" -st "Qi : integer(3 DOWNTO 0) +xt "22000,-4600,37500,-3800" +st "Qi : unsigned(3 DOWNTO 0) " ) ) @@ -602,16 +602,16 @@ optionalChildren [ uid 81,0 sl 0 ro 270 -xt "-2000,19625,-500,20375" +xt "-2000,26625,-500,27375" ) (Line uid 82,0 sl 0 ro 270 -xt "-500,20000,0,20000" +xt "-500,27000,0,27000" pts [ -"-500,20000" -"0,20000" +"-500,27000" +"0,27000" ] ) ] @@ -626,10 +626,10 @@ f (Text uid 84,0 va (VaSet ) -xt "-6300,19400,-3000,20600" +xt "-6300,26400,-3000,27600" st "reset" ju 2 -blo "-3000,20400" +blo "-3000,27400" tm "WireNameMgr" ) ) @@ -666,16 +666,16 @@ optionalChildren [ uid 95,0 sl 0 ro 270 -xt "-2000,23625,-500,24375" +xt "-2000,12625,-500,13375" ) (Line uid 96,0 sl 0 ro 270 -xt "-500,24000,0,24000" +xt "-500,13000,0,13000" pts [ -"-500,24000" -"0,24000" +"-500,13000" +"0,13000" ] ) ] @@ -690,10 +690,10 @@ f (Text uid 98,0 va (VaSet ) -xt "-4900,23400,-3000,24600" +xt "-4900,12400,-3000,13600" st "up" ju 2 -blo "-3000,24400" +blo "-3000,13400" tm "WireNameMgr" ) ) @@ -2591,13 +2591,14 @@ uid 16,0 va (VaSet vasetType 3 ) -xt "0,8000,10000,8000" +xt "0,29000,10000,29000" pts [ -"0,8000" -"10000,8000" +"0,29000" +"10000,29000" ] ) start &1 +ss 0 sat 32 eat 16 st 0 @@ -2612,9 +2613,9 @@ uid 20,0 va (VaSet isHidden 1 ) -xt "2000,6800,5400,8000" +xt "2000,27800,5400,29000" st "clock" -blo "2000,7800" +blo "2000,28800" tm "WireNameMgr" ) ) @@ -2841,10 +2842,10 @@ uid 86,0 va (VaSet vasetType 3 ) -xt "0,20000,10000,20000" +xt "0,27000,10000,27000" pts [ -"0,20000" -"10000,20000" +"0,27000" +"10000,27000" ] ) start &11 @@ -2862,9 +2863,9 @@ uid 90,0 va (VaSet isHidden 1 ) -xt "2000,18800,5300,20000" +xt "2000,25800,5300,27000" st "reset" -blo "2000,19800" +blo "2000,26800" tm "WireNameMgr" ) ) @@ -2877,10 +2878,10 @@ uid 100,0 va (VaSet vasetType 3 ) -xt "0,24000,10000,24000" +xt "0,13000,10000,13000" pts [ -"0,24000" -"10000,24000" +"0,13000" +"10000,13000" ] ) start &13 @@ -2898,9 +2899,9 @@ uid 104,0 va (VaSet isHidden 1 ) -xt "2000,22800,3900,24000" +xt "2000,11800,3900,13000" st "up" -blo "2000,23800" +blo "2000,12800" tm "WireNameMgr" ) ) @@ -3846,12 +3847,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "-8,-8,1544,928" -viewArea "-30688,-14720,91120,59616" +windowSize "0,24,1537,960" +viewArea "-30700,-7300,91037,67844" cachedDiagramExtent "-7200,-11000,66000,76600" hasePageBreakOrigin 1 -pageBreakOrigin "-7000,0" -lastUid 556,0 +pageBreakOrigin "-80000,-49000" +lastUid 751,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -4996,7 +4997,7 @@ lang 11 m 1 decl (Decl n "Qi" -t "integer" +t "unsigned" b "(3 DOWNTO 0)" o 6 suid 3,0 diff --git a/Cursor/hds/cpt4bit/symbol.sb b/Cursor/hds/cpt4bit/symbol.sb index fea1f7f..8565a4e 100644 --- a/Cursor/hds/cpt4bit/symbol.sb +++ b/Cursor/hds/cpt4bit/symbol.sb @@ -99,7 +99,7 @@ lang 11 m 1 decl (Decl n "Qi" -t "integer" +t "unsigned" b "(3 DOWNTO 0)" o 6 suid 3,0 @@ -521,7 +521,7 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "day" @@ -533,7 +533,7 @@ value "mardi" ) (vvPair variable "dd" -value "14" +value "21" ) (vvPair variable "entity_name" @@ -561,7 +561,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -573,7 +573,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:12:38" +value "13:37:10" ) (vvPair variable "group" @@ -645,7 +645,7 @@ value "symbol" ) (vvPair variable "time" -value "14:12:38" +value "13:37:10" ) (vvPair variable "unit" @@ -712,7 +712,8 @@ va (VaSet font "Courier New,8,0" ) xt "44000,3200,59500,4000" -st "clock : IN std_ulogic ;" +st "clock : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -757,7 +758,8 @@ va (VaSet font "Courier New,8,0" ) xt "44000,4000,59500,4800" -st "eni : IN std_ulogic ;" +st "eni : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -802,15 +804,16 @@ uid 65,0 va (VaSet font "Courier New,8,0" ) -xt "44000,6400,64000,7200" -st "Qi : OUT integer (3 DOWNTO 0) ;" +xt "44000,6400,64500,7200" +st "Qi : OUT unsigned (3 DOWNTO 0) ; +" ) thePort (LogicalPort lang 11 m 1 decl (Decl n "Qi" -t "integer" +t "unsigned" b "(3 DOWNTO 0)" o 6 suid 3,0 @@ -850,7 +853,8 @@ va (VaSet font "Courier New,8,0" ) xt "44000,2400,59500,3200" -st "RaZ : IN std_ulogic ;" +st "RaZ : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -896,7 +900,8 @@ va (VaSet font "Courier New,8,0" ) xt "44000,7200,58500,8000" -st "RCOi : OUT std_ulogic " +st "RCOi : OUT std_ulogic +" ) thePort (LogicalPort lang 11 @@ -942,7 +947,8 @@ va (VaSet font "Courier New,8,0" ) xt "44000,4800,59500,5600" -st "reset : IN std_ulogic ;" +st "reset : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -987,7 +993,8 @@ va (VaSet font "Courier New,8,0" ) xt "44000,5600,59500,6400" -st "up : IN std_ulogic ;" +st "up : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -1001,6 +1008,7 @@ suid 7,0 ) ] shape (Rectangle +uid 226,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -1708,7 +1716,7 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 202,0 +lastUid 249,0 okToSyncOnLoad 1 OkToSyncGenericsOnLoad 1 activeModelName "Symbol:CDM" diff --git a/Cursor/hds/cursor@circuit/student@version.bd b/Cursor/hds/cursor@circuit/student@version.bd index bb77cf0..a1328d3 100644 --- a/Cursor/hds/cursor@circuit/student@version.bd +++ b/Cursor/hds/cursor@circuit/student@version.bd @@ -110,19 +110,19 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "20.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "lun." +value "mar." ) (vvPair variable "day_long" -value "lundi" +value "mardi" ) (vvPair variable "dd" -value "20" +value "21" ) (vvPair variable "designName" @@ -154,7 +154,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "20.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -166,7 +166,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "10:59:57" +value "13:55:09" ) (vvPair variable "group" @@ -302,7 +302,7 @@ value "studentVersion" ) (vvPair variable "time" -value "10:59:57" +value "13:55:09" ) (vvPair variable "unit" @@ -1676,9 +1676,10 @@ tm "SignalTypeMgr" ) *40 (Net uid 7316,0 +lang 11 decl (Decl n "button4" -t "std_uLogic" +t "std_ulogic" o 1 suid 47,0 ) @@ -1687,8 +1688,8 @@ uid 7317,0 va (VaSet isHidden 1 ) -xt "0,-23800,12800,-22600" -st "button4 : std_uLogic +xt "0,-23800,12500,-22600" +st "button4 : std_ulogic " ) ) @@ -2785,7 +2786,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "79250,40625,80000,41375" +xt "79250,41625,80000,42375" ) tg (CPTG uid 12365,0 @@ -2796,9 +2797,9 @@ uid 12366,0 va (VaSet font "Verdana,12,0" ) -xt "81000,40300,86000,41700" +xt "81000,41300,86000,42700" st "button" -blo "81000,41500" +blo "81000,42500" ) ) thePort (LogicalPort @@ -3867,9 +3868,9 @@ uid 7315,0 va (VaSet font "Verdana,12,0" ) -xt "44000,44600,48900,45900" +xt "44000,44600,49800,46000" st "button4" -blo "44000,45600" +blo "44000,45800" tm "WireNameMgr" ) ) @@ -4296,10 +4297,10 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "64000,41000,79250,41000" +xt "64000,42000,79250,42000" pts [ -"64000,41000" -"79250,41000" +"64000,42000" +"79250,42000" ] ) start &57 @@ -4320,9 +4321,9 @@ uid 11566,0 va (VaSet font "Verdana,12,0" ) -xt "73000,39600,78000,41000" +xt "73000,40600,78000,42000" st "button" -blo "73000,40800" +blo "73000,41800" tm "WireNameMgr" ) ) @@ -4844,7 +4845,7 @@ tm "BdCompilerDirectivesTextMgr" associable 1 ) windowSize "0,0,1537,960" -viewArea "39300,1604,141402,66500" +viewArea "39300,8052,141402,72948" cachedDiagramExtent "-17000,-23800,171000,152000" pageSetupInfo (PageSetupInfo ptrCmd "\\\\ipp://ippsion.hevs.ch\\PREA309_HPLJ3005DN,winspool," @@ -4871,7 +4872,7 @@ boundaryWidth 0 ) hasePageBreakOrigin 1 pageBreakOrigin "24000,-12000" -lastUid 12785,0 +lastUid 12975,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -5884,7 +5885,7 @@ tm "BdDeclarativeTextMgr" ) commonDM (CommonDM ldm (LogicalDM -suid 91,0 +suid 92,0 usingSuid 1 emptyRow *167 (LEmptyRow ) @@ -6082,9 +6083,10 @@ uid 5693,0 ) *194 (LeafLogPort port (LogicalPort +lang 11 decl (Decl n "button4" -t "std_uLogic" +t "std_ulogic" o 1 suid 47,0 ) diff --git a/Cursor/hds/cursor@circuit/symbol.sb b/Cursor/hds/cursor@circuit/symbol.sb index fd6cd7a..13661ea 100644 --- a/Cursor/hds/cursor@circuit/symbol.sb +++ b/Cursor/hds/cursor@circuit/symbol.sb @@ -74,9 +74,10 @@ uid 328,0 ) *6 (LogPort port (LogicalPort +lang 11 decl (Decl n "button4" -t "std_uLogic" +t "std_ulogic" o 1 suid 16,0 ) @@ -836,19 +837,19 @@ value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\ ) (vvPair variable "date" -value "20.12.2021" +value "21.12.2021" ) (vvPair variable "day" -value "lun." +value "mar." ) (vvPair variable "day_long" -value "lundi" +value "mardi" ) (vvPair variable "dd" -value "20" +value "21" ) (vvPair variable "designName" @@ -880,7 +881,7 @@ value "remi" ) (vvPair variable "graphical_source_date" -value "20.12.2021" +value "21.12.2021" ) (vvPair variable "graphical_source_group" @@ -892,7 +893,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "10:59:57" +value "13:55:09" ) (vvPair variable "group" @@ -1028,7 +1029,7 @@ value "symbol" ) (vvPair variable "time" -value "10:59:57" +value "13:55:09" ) (vvPair variable "unit" @@ -1879,13 +1880,14 @@ va (VaSet font "Courier New,8,0" ) xt "2000,11900,19000,12700" -st "button4 : IN std_uLogic ; +st "button4 : IN std_ulogic ; " ) thePort (LogicalPort +lang 11 decl (Decl n "button4" -t "std_uLogic" +t "std_ulogic" o 1 suid 16,0 ) @@ -2964,7 +2966,7 @@ xt "0,9900,0,9900" tm "SyDeclarativeTextMgr" ) ) -lastUid 947,0 +lastUid 993,0 okToSyncOnLoad 1 OkToSyncGenericsOnLoad 1 activeModelName "Symbol" diff --git a/Prefs/hds_user/v2019.2/hds_user_prefs b/Prefs/hds_user/v2019.2/hds_user_prefs index aede047..4e3c611 100644 --- a/Prefs/hds_user/v2019.2/hds_user_prefs +++ b/Prefs/hds_user/v2019.2/hds_user_prefs @@ -2554,7 +2554,7 @@ second "" ) (pair first "hierLevel" -second "3" +second "1" ) (pair first "onPulldownMenu" @@ -4181,7 +4181,7 @@ hdsWorkspaceLocation "" relativeLibraryRootDir "" vmLabelLatestDontAskAgain 0 vmLabelWorkspaceDontAskAgain 0 -logWindowGeometry "600x361+920+0" +logWindowGeometry "770x454+563+50" diagramBrowserTabNo 0 showInsertPortHint 0 showContentFirstTime 0 diff --git a/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk b/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk index 089b6c8..35db922 100644 --- a/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk +++ b/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk @@ -29,7 +29,7 @@ onShortcutBar 1 onPulldownMenu 1 onToolbar 1 enabled 1 -hierDepth 3 +hierDepth 1 subTasks [ (HDSTaskRef TaskName "Generate"