DocumentHdrVersion "1.1" Header (DocumentHdr version 2 dialect 11 dmPackageRefs [ (DmPackageRef library "ieee" unitName "std_logic_1164" ) (DmPackageRef library "ieee" unitName "numeric_std" ) (DmPackageRef library "gates" unitName "gates" ) ] instances [ (Instance name "I1" duLibraryName "Cursor_test" duName "pulseWidthModulator_tester" elements [ (GiElement name "counterBitNb" type "positive" value "counterBitNb" ) ] mwi 0 uid 1774,0 ) (Instance name "I3" duLibraryName "Cursor" duName "Driver" elements [ ] mwi 0 uid 3054,0 ) (Instance name "U_1" duLibraryName "sequential" duName "counterEnableResetSync" elements [ (GiElement name "bitNb" type "positive" value "8" ) (GiElement name "delay" type "time" value "gateDelay" ) ] mwi 0 uid 3223,0 ) (Instance name "I0" duLibraryName "Cursor_test" duName "pwmtest" elements [ ] mwi 0 uid 3343,0 ) (Instance name "I2" duLibraryName "gates" duName "logic1" elements [ ] mwi 0 uid 3386,0 ) ] libraryRefs [ "ieee" "gates" ] ) version "32.1" appVersion "2019.2 (Build 5)" noEmbeddedEditors 1 model (BlockDiag VExpander (VariableExpander vvMap [ (vvPair variable " " value " " ) (vvPair variable "HDLDir" value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hdl" ) (vvPair variable "HDSDir" value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds" ) (vvPair variable "SideDataDesignDir" value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\struct.bd.info" ) (vvPair variable "SideDataUserDir" value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\struct.bd.user" ) (vvPair variable "SourceDir" value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds" ) (vvPair variable "appl" value "HDL Designer" ) (vvPair variable "arch_name" value "struct" ) (vvPair variable "concat_file" value "concatenated" ) (vvPair variable "config" value "%(unit)_%(view)_config" ) (vvPair variable "d" value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb" ) (vvPair variable "d_logical" value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tb" ) (vvPair variable "date" value "14.01.2022" ) (vvPair variable "day" value "ven." ) (vvPair variable "day_long" value "vendredi" ) (vvPair variable "dd" value "14" ) (vvPair variable "entity_name" value "pulseWidthModulator_tb" ) (vvPair variable "ext" value "" ) (vvPair variable "f" value "struct.bd" ) (vvPair variable "f_logical" value "struct.bd" ) (vvPair variable "f_noext" value "struct" ) (vvPair variable "graphical_source_author" value "Simon" ) (vvPair variable "graphical_source_date" value "14.01.2022" ) (vvPair variable "graphical_source_group" value "UNKNOWN" ) (vvPair variable "graphical_source_host" value "PC-SDM" ) (vvPair variable "graphical_source_time" value "09:04:38" ) (vvPair variable "group" value "UNKNOWN" ) (vvPair variable "host" value "PC-SDM" ) (vvPair variable "language" value "VHDL" ) (vvPair variable "library" value "Cursor_test" ) (vvPair variable "library_downstream_ModelSim" value "D:\\Users\\ELN_labs\\VHDL_comp" ) (vvPair variable "library_downstream_ModelSimCompiler" value "$SCRATCH_DIR/Cursor_test/work" ) (vvPair variable "mm" value "01" ) (vvPair variable "module_name" value "pulseWidthModulator_tb" ) (vvPair variable "month" value "janv." ) (vvPair variable "month_long" value "janvier" ) (vvPair variable "p" value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\struct.bd" ) (vvPair variable "p_logical" value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tb\\struct.bd" ) (vvPair variable "package_name" value "" ) (vvPair variable "project_name" value "hds" ) (vvPair variable "series" value "HDL Designer Series" ) (vvPair variable "this_ext" value "bd" ) (vvPair variable "this_file" value "struct" ) (vvPair variable "this_file_logical" value "struct" ) (vvPair variable "time" value "09:04:38" ) (vvPair variable "unit" value "pulseWidthModulator_tb" ) (vvPair variable "user" value "Simon" ) (vvPair variable "version" value "2019.2 (Build 5)" ) (vvPair variable "view" value "struct" ) (vvPair variable "year" value "2022" ) (vvPair variable "yy" value "22" ) ] ) LanguageMgr "Vhdl2008LangMgr" uid 198,0 optionalChildren [ *1 (Net uid 1315,0 decl (Decl n "reset" t "std_ulogic" o 5 suid 1,0 ) declText (MLText uid 1316,0 va (VaSet isHidden 1 font "Courier New,9,0" ) xt "-5000,63800,11000,65000" st "SIGNAL reset : std_ulogic " ) ) *2 (Net uid 1325,0 decl (Decl n "clock" t "std_ulogic" o 3 suid 2,0 ) declText (MLText uid 1326,0 va (VaSet isHidden 1 font "Courier New,9,0" ) xt "-5000,57800,11000,59000" st "SIGNAL clock : std_ulogic " ) ) *3 (Grouping uid 1487,0 optionalChildren [ *4 (CommentText uid 1489,0 shape (Rectangle uid 1490,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "47000,60000,66000,62000" ) oxt "45000,22000,64000,24000" text (MLText uid 1491,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "47200,60400,62600,61600" st " " tm "CommentText" wrapOption 3 visibleHeight 1600 visibleWidth 18600 ) position 1 ignorePrefs 1 ) *5 (CommentText uid 1492,0 shape (Rectangle uid 1493,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "15000,60000,41000,62000" ) oxt "13000,22000,39000,24000" text (MLText uid 1494,0 va (VaSet fg "32768,0,0" font "Arial,12,1" ) xt "22250,60250,33750,61750" st " " ju 0 tm "CommentText" wrapOption 3 visibleHeight 1600 visibleWidth 25600 ) position 1 ignorePrefs 1 ) *6 (CommentText uid 1495,0 shape (Rectangle uid 1496,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "20000,66000,41000,68000" ) oxt "18000,28000,39000,30000" text (MLText uid 1497,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "20200,66400,35900,67600" st " by %user on %dd %month %year " tm "CommentText" wrapOption 3 visibleHeight 1600 visibleWidth 20600 ) position 1 ignorePrefs 1 ) *7 (CommentText uid 1498,0 shape (Rectangle uid 1499,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "41000,60000,47000,62000" ) oxt "39000,22000,45000,24000" text (MLText uid 1500,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "41200,60400,45900,61600" st " Project: " tm "CommentText" wrapOption 3 visibleHeight 1600 visibleWidth 5600 ) position 1 ignorePrefs 1 ) *8 (CommentText uid 1501,0 shape (Rectangle uid 1502,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "20000,62000,41000,64000" ) oxt "18000,24000,39000,26000" text (MLText uid 1503,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "20200,62400,35400,63600" st " " tm "CommentText" wrapOption 3 visibleHeight 1600 visibleWidth 20600 ) position 1 ignorePrefs 1 ) *9 (CommentText uid 1504,0 shape (Rectangle uid 1505,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "15000,62000,20000,64000" ) oxt "13000,24000,18000,26000" text (MLText uid 1506,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "15200,62400,18600,63600" st " Title: " tm "CommentText" wrapOption 3 visibleHeight 1600 visibleWidth 4600 ) position 1 ignorePrefs 1 ) *10 (CommentText uid 1507,0 shape (Rectangle uid 1508,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "15000,64000,20000,66000" ) oxt "13000,26000,18000,28000" text (MLText uid 1509,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "15200,64400,18600,65600" st " Path: " tm "CommentText" wrapOption 3 visibleHeight 1600 visibleWidth 4600 ) position 1 ignorePrefs 1 ) *11 (CommentText uid 1510,0 shape (Rectangle uid 1511,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "41000,62000,66000,68000" ) oxt "39000,24000,64000,30000" text (MLText uid 1512,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "41200,62200,55300,63400" st " " tm "CommentText" wrapOption 3 visibleHeight 5600 visibleWidth 24600 ) ignorePrefs 1 ) *12 (CommentText uid 1513,0 shape (Rectangle uid 1514,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "20000,64000,41000,66000" ) oxt "18000,26000,39000,28000" text (MLText uid 1515,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "20200,64400,30100,65600" st " %library/%unit/%view " tm "CommentText" wrapOption 3 visibleHeight 1600 visibleWidth 20600 ) position 1 ignorePrefs 1 ) *13 (CommentText uid 1516,0 shape (Rectangle uid 1517,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "15000,66000,20000,68000" ) oxt "13000,28000,18000,30000" text (MLText uid 1518,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "15200,66400,19500,67600" st " Edited: " tm "CommentText" wrapOption 3 visibleHeight 1600 visibleWidth 4600 ) position 1 ignorePrefs 1 ) ] shape (GroupingShape uid 1488,0 va (VaSet vasetType 1 fg "65535,65535,65535" lineStyle 2 lineWidth 1 ) xt "15000,60000,66000,68000" ) oxt "13000,22000,64000,30000" ) *14 (Blk uid 1774,0 shape (Rectangle uid 1775,0 va (VaSet vasetType 1 fg "39936,56832,65280" lineColor "0,0,32768" lineWidth 2 ) xt "4000,43000,56000,51000" ) oxt "0,0,8000,10000" ttg (MlTextGroup uid 1776,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *15 (Text uid 1777,0 va (VaSet font "Verdana,12,1" ) xt "10600,45900,20200,47300" st "Cursor_test" blo "10600,47100" tm "BdLibraryNameMgr" ) *16 (Text uid 1778,0 va (VaSet font "Verdana,12,1" ) xt "10600,47300,32100,48700" st "pulseWidthModulator_tester" blo "10600,48500" tm "BlkNameMgr" ) *17 (Text uid 1779,0 va (VaSet font "Verdana,12,1" ) xt "10600,48700,13000,50100" st "I1" blo "10600,49900" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation uid 1780,0 ps "EdgeToEdgeStrategy" matrix (Matrix uid 1781,0 text (MLText uid 1782,0 va (VaSet font "Courier New,9,0" ) xt "20000,53800,44000,55000" st "counterBitNb = counterBitNb ( positive ) " ) header "" ) elements [ (GiElement name "counterBitNb" type "positive" value "counterBitNb" ) ] ) ) *18 (SaComponent uid 3054,0 optionalChildren [ *19 (CptPort uid 3063,0 ps "OnEdgeStrategy" shape (Triangle uid 3064,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "28250,25625,29000,26375" ) tg (CPTG uid 3065,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 3066,0 va (VaSet font "Verdana,12,0" ) xt "30000,25300,33800,26700" st "clock" blo "30000,26500" ) ) thePort (LogicalPort lang 11 decl (Decl n "clock" t "std_ulogic" o 3 ) ) ) *20 (CptPort uid 3067,0 ps "OnEdgeStrategy" shape (Triangle uid 3068,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "37000,15625,37750,16375" ) tg (CPTG uid 3069,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 3070,0 va (VaSet font "Verdana,12,0" ) xt "29700,15300,36000,16700" st "motorOn" ju 2 blo "36000,16500" ) ) thePort (LogicalPort lang 11 m 1 decl (Decl n "motorOn" t "std_uLogic" o 5 ) ) ) *21 (CptPort uid 3071,0 ps "OnEdgeStrategy" shape (Triangle uid 3072,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "28250,17625,29000,18375" ) tg (CPTG uid 3073,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 3074,0 va (VaSet font "Verdana,12,0" ) xt "30000,17300,34800,18700" st "Power" blo "30000,18500" ) ) thePort (LogicalPort lang 11 decl (Decl n "Power" t "unsigned" b "(7 DOWNTO 0)" o 1 ) ) ) *22 (CptPort uid 3075,0 ps "OnEdgeStrategy" shape (Triangle uid 3076,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "28250,26625,29000,27375" ) tg (CPTG uid 3077,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 3078,0 va (VaSet font "Verdana,12,0" ) xt "30000,26300,34100,27700" st "reset" blo "30000,27500" ) ) thePort (LogicalPort lang 11 decl (Decl n "reset" t "std_ulogic" o 4 ) ) ) *23 (CptPort uid 3079,0 ps "OnEdgeStrategy" shape (Triangle uid 3080,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "37000,23625,37750,24375" ) tg (CPTG uid 3081,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 3082,0 va (VaSet font "Verdana,12,0" ) xt "31800,23300,36000,24700" st "side1" ju 2 blo "36000,24500" ) ) thePort (LogicalPort lang 11 m 1 decl (Decl n "side1" t "std_uLogic" o 6 ) ) ) *24 (CptPort uid 3083,0 ps "OnEdgeStrategy" shape (Triangle uid 3084,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "37000,21625,37750,22375" ) tg (CPTG uid 3085,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 3086,0 va (VaSet font "Verdana,12,0" ) xt "34800,26300,39000,27700" st "side2" ju 2 blo "39000,27500" ) ) thePort (LogicalPort lang 11 m 1 decl (Decl n "side2" t "std_uLogic" o 7 ) ) ) *25 (CptPort uid 3087,0 ps "OnEdgeStrategy" shape (Triangle uid 3088,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "28250,21625,29000,22375" ) tg (CPTG uid 3089,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 3090,0 va (VaSet font "Verdana,12,0" ) xt "31000,19300,35200,20700" st "SideL" blo "31000,20500" ) ) thePort (LogicalPort lang 11 decl (Decl n "SideL" t "std_ulogic" o 2 ) ) ) ] shape (Rectangle uid 3055,0 va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "29000,15000,37000,29000" ) oxt "15000,6000,23000,20000" ttg (MlTextGroup uid 3056,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *26 (Text uid 3057,0 va (VaSet font "Verdana,9,1" ) xt "31150,20800,34850,22000" st "Cursor" blo "31150,21800" tm "BdLibraryNameMgr" ) *27 (Text uid 3058,0 va (VaSet font "Verdana,9,1" ) xt "31150,22000,34750,23200" st "Driver" blo "31150,23000" tm "CptNameMgr" ) *28 (Text uid 3059,0 va (VaSet font "Verdana,9,1" ) xt "31150,23200,32850,24400" st "I3" blo "31150,24200" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation uid 3060,0 ps "EdgeToEdgeStrategy" matrix (Matrix uid 3061,0 text (MLText uid 3062,0 va (VaSet font "Courier New,8,0" ) xt "3500,18000,3500,18000" ) header "" ) elements [ ] ) portVis (PortSigDisplay sTC 0 sF 0 ) archFileType "UNKNOWN" ) *29 (SaComponent uid 3223,0 optionalChildren [ *30 (CptPort uid 3233,0 ps "OnEdgeStrategy" shape (Triangle uid 3234,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "19250,-9375,20000,-8625" ) tg (CPTG uid 3235,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 3236,0 va (VaSet font "Verdana,12,0" ) xt "21000,-9700,24800,-8300" st "clock" blo "21000,-8500" ) ) thePort (LogicalPort decl (Decl n "clock" t "std_ulogic" o 1 ) ) ) *31 (CptPort uid 3237,0 ps "OnEdgeStrategy" shape (Triangle uid 3238,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "36000,-13375,36750,-12625" ) tg (CPTG uid 3239,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 3240,0 va (VaSet font "Verdana,12,0" ) xt "28400,-13700,35000,-12300" st "countOut" ju 2 blo "35000,-12500" ) ) thePort (LogicalPort m 1 decl (Decl n "countOut" t "unsigned" b "(bitNb-1 DOWNTO 0)" o 2 ) ) ) *32 (CptPort uid 3241,0 ps "OnEdgeStrategy" shape (Triangle uid 3242,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "19250,-7375,20000,-6625" ) tg (CPTG uid 3243,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 3244,0 va (VaSet font "Verdana,12,0" ) xt "21000,-7700,25100,-6300" st "reset" blo "21000,-6500" ) ) thePort (LogicalPort decl (Decl n "reset" t "std_ulogic" o 3 ) ) ) *33 (CptPort uid 3245,0 ps "OnEdgeStrategy" shape (Triangle uid 3246,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "19250,-13375,20000,-12625" ) tg (CPTG uid 3247,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 3248,0 va (VaSet font "Verdana,12,0" ) xt "21000,-13700,26100,-12300" st "enable" blo "21000,-12500" ) ) thePort (LogicalPort decl (Decl n "enable" t "std_ulogic" o 4 ) ) ) *34 (CptPort uid 3249,0 ps "OnEdgeStrategy" shape (Triangle uid 3250,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "19250,-15375,20000,-14625" ) tg (CPTG uid 3251,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 3252,0 va (VaSet font "Verdana,12,0" ) xt "21000,-15700,28000,-14300" st "resetSync" blo "21000,-14500" ) ) thePort (LogicalPort decl (Decl n "resetSync" t "std_ulogic" o 5 ) ) ) ] shape (Rectangle uid 3224,0 va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "20000,-19000,36000,-5000" ) oxt "30000,9000,46000,23000" ttg (MlTextGroup uid 3225,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *35 (Text uid 3226,0 va (VaSet ) xt "20300,-4600,26900,-3400" st "sequential" blo "20300,-3600" tm "BdLibraryNameMgr" ) *36 (Text uid 3227,0 va (VaSet ) xt "20300,-3400,35000,-2200" st "counterEnableResetSync" blo "20300,-2400" tm "CptNameMgr" ) *37 (Text uid 3228,0 va (VaSet ) xt "20300,-2200,23100,-1000" st "U_1" blo "20300,-1200" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation uid 3229,0 ps "EdgeToEdgeStrategy" matrix (Matrix uid 3230,0 text (MLText uid 3231,0 va (VaSet ) xt "20000,-600,37800,1800" st "bitNb = 8 ( positive ) delay = gateDelay ( time ) " ) header "" ) elements [ (GiElement name "bitNb" type "positive" value "8" ) (GiElement name "delay" type "time" value "gateDelay" ) ] ) viewicon (ZoomableIcon uid 3232,0 sl 0 va (VaSet vasetType 1 fg "49152,49152,49152" ) xt "20250,-6750,21750,-5250" iconName "VhdlFileViewIcon.png" iconMaskName "VhdlFileViewIcon.msk" ftype 10 ) viewiconposition 0 portVis (PortSigDisplay sTC 0 sT 1 ) archFileType "UNKNOWN" ) *38 (Blk uid 3343,0 shape (Rectangle uid 3344,0 va (VaSet vasetType 1 fg "39936,56832,65280" lineColor "0,0,32768" lineWidth 2 ) xt "21000,-34000,32000,-24000" ) oxt "0,0,8000,10000" ttg (MlTextGroup uid 3345,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *39 (Text uid 3346,0 va (VaSet font "Verdana,12,1" ) xt "21700,-31100,31300,-29700" st "Cursor_test" blo "21700,-29900" tm "BdLibraryNameMgr" ) *40 (Text uid 3347,0 va (VaSet font "Verdana,12,1" ) xt "21700,-29700,28300,-28300" st "pwmtest" blo "21700,-28500" tm "BlkNameMgr" ) *41 (Text uid 3348,0 va (VaSet font "Verdana,12,1" ) xt "21700,-28300,23200,-26900" st "I0" blo "21700,-27100" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation uid 3349,0 ps "EdgeToEdgeStrategy" matrix (Matrix uid 3350,0 text (MLText uid 3351,0 va (VaSet isHidden 1 font "Courier New,9,0" ) xt "21700,-21100,21700,-21100" ) header "" ) elements [ ] ) ) *42 (Net uid 3352,0 decl (Decl n "resetSync" t "std_ulogic" o 8 suid 40,0 ) declText (MLText uid 3353,0 va (VaSet isHidden 1 font "Courier New,9,0" ) xt "0,0,16000,1200" st "SIGNAL resetSync : std_ulogic " ) ) *43 (Net uid 3360,0 decl (Decl n "enable" t "std_ulogic" o 9 suid 41,0 ) declText (MLText uid 3361,0 va (VaSet isHidden 1 font "Courier New,9,0" ) xt "0,0,16000,1200" st "SIGNAL enable : std_ulogic " ) ) *44 (Net uid 3368,0 decl (Decl n "countOut" t "unsigned" b "(bitNb-1 DOWNTO 0)" o 10 suid 42,0 ) declText (MLText uid 3369,0 va (VaSet isHidden 1 font "Courier New,9,0" ) xt "0,0,24500,1200" st "SIGNAL countOut : unsigned(bitNb-1 DOWNTO 0) " ) ) *45 (SaComponent uid 3386,0 optionalChildren [ *46 (CptPort uid 3382,0 ps "OnEdgeStrategy" shape (Triangle uid 3383,0 ro 180 va (VaSet vasetType 1 isHidden 1 fg "0,65535,0" ) xt "16625,19000,17375,19750" ) tg (CPTG uid 3384,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 3385,0 va (VaSet isHidden 1 ) xt "18000,18000,22400,19200" st "logic_1" blo "18000,19000" ) s (Text uid 3395,0 va (VaSet ) xt "18000,19200,18000,19200" blo "18000,19200" ) ) thePort (LogicalPort m 1 decl (Decl n "logic_1" t "std_uLogic" o 1 suid 2,0 ) ) ) ] shape (Pu uid 3387,0 va (VaSet vasetType 1 fg "0,65535,0" bg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "14000,13000,19000,19000" ) showPorts 0 oxt "34000,15000,39000,21000" ttg (MlTextGroup uid 3388,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *47 (Text uid 3389,0 va (VaSet font "Verdana,8,1" ) xt "13910,16700,17010,17700" st "gates" blo "13910,17500" tm "BdLibraryNameMgr" ) *48 (Text uid 3390,0 va (VaSet font "Verdana,8,1" ) xt "13910,17700,17410,18700" st "logic1" blo "13910,18500" tm "CptNameMgr" ) *49 (Text uid 3391,0 va (VaSet font "Verdana,8,1" ) xt "13910,18700,15510,19700" st "I2" blo "13910,19500" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation uid 3392,0 ps "EdgeToEdgeStrategy" matrix (Matrix uid 3393,0 text (MLText uid 3394,0 va (VaSet font "Verdana,8,0" ) xt "14000,21600,14000,21600" ) header "" ) elements [ ] ) portVis (PortSigDisplay disp 1 sN 0 sTC 0 sT 1 ) archFileType "UNKNOWN" ) *50 (Net uid 3396,0 lang 11 decl (Decl n "SideL" t "std_ulogic" o 6 suid 43,0 ) declText (MLText uid 3397,0 va (VaSet isHidden 1 font "Courier New,9,0" ) xt "0,0,16000,1200" st "SIGNAL SideL : std_ulogic " ) ) *51 (Net uid 3416,0 lang 11 decl (Decl n "clk" t "unsigned" o 7 suid 44,0 ) declText (MLText uid 3417,0 va (VaSet isHidden 1 font "Courier New,9,0" ) xt "0,0,15000,1200" st "SIGNAL clk : unsigned " ) ) *52 (Net uid 3426,0 lang 11 decl (Decl n "rst" t "unsigned" o 8 suid 45,0 ) declText (MLText uid 3427,0 va (VaSet isHidden 1 font "Courier New,9,0" ) xt "0,0,15000,1200" st "SIGNAL rst : unsigned " ) ) *53 (Wire uid 1317,0 shape (OrthoPolyLine uid 1318,0 va (VaSet vasetType 3 ) xt "20000,27000,28250,43000" pts [ "28250,27000" "20000,27000" "20000,43000" ] ) start &22 end &14 ss 0 sat 32 eat 2 stc 0 st 0 sf 1 si 0 tg (WTG uid 1321,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 1322,0 va (VaSet font "Verdana,12,0" ) xt "25000,25600,29100,27000" st "reset" blo "25000,26800" tm "WireNameMgr" ) ) on &1 ) *54 (Wire uid 1327,0 shape (OrthoPolyLine uid 1328,0 va (VaSet vasetType 3 ) xt "18000,26000,28250,43000" pts [ "28250,26000" "18000,26000" "18000,43000" ] ) start &19 end &14 ss 0 sat 32 eat 2 stc 0 st 0 sf 1 si 0 tg (WTG uid 1331,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 1332,0 va (VaSet font "Verdana,12,0" ) xt "25000,24600,28800,26000" st "clock" blo "25000,25800" tm "WireNameMgr" ) ) on &2 ) *55 (Wire uid 3283,0 shape (OrthoPolyLine uid 3284,0 va (VaSet vasetType 3 ) xt "3000,-7000,19250,-7000" pts [ "3000,-7000" "19250,-7000" ] ) end &32 es 0 sat 16 eat 32 st 0 sf 1 si 0 tg (WTG uid 3285,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 3286,0 va (VaSet isHidden 1 ) xt "5000,-8200,8300,-7000" st "reset" blo "5000,-7200" tm "WireNameMgr" ) ) on &1 ) *56 (Wire uid 3305,0 shape (OrthoPolyLine uid 3306,0 va (VaSet vasetType 3 ) xt "3000,-9000,19250,-9000" pts [ "3000,-9000" "19250,-9000" ] ) end &30 es 0 sat 16 eat 32 st 0 sf 1 si 0 tg (WTG uid 3307,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 3308,0 va (VaSet isHidden 1 ) xt "5000,-10200,8400,-9000" st "clock" blo "5000,-9200" tm "WireNameMgr" ) ) on &2 ) *57 (Wire uid 3354,0 shape (OrthoPolyLine uid 3355,0 va (VaSet vasetType 3 ) xt "17000,-27000,21000,-15000" pts [ "21000,-27000" "17000,-27000" "17000,-15000" "19250,-15000" ] ) start &38 end &34 sat 2 eat 32 stc 0 sf 1 si 0 tg (WTG uid 3358,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 3359,0 va (VaSet font "Verdana,12,0" ) xt "13000,-28400,20000,-27000" st "resetSync" blo "13000,-27200" tm "WireNameMgr" ) ) on &42 ) *58 (Wire uid 3362,0 shape (OrthoPolyLine uid 3363,0 va (VaSet vasetType 3 ) xt "12000,-29000,21000,-13000" pts [ "21000,-29000" "12000,-29000" "12000,-13000" "19250,-13000" ] ) start &38 end &33 sat 2 eat 32 stc 0 sf 1 si 0 tg (WTG uid 3366,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 3367,0 va (VaSet font "Verdana,12,0" ) xt "15000,-30400,20100,-29000" st "enable" blo "15000,-29200" tm "WireNameMgr" ) ) on &43 ) *59 (Wire uid 3370,0 optionalChildren [ *60 (BdJunction uid 3406,0 ps "OnConnectorStrategy" shape (Circle uid 3407,0 va (VaSet vasetType 1 ) xt "38600,-13400,39400,-12600" radius 400 ) ) ] shape (OrthoPolyLine uid 3371,0 va (VaSet vasetType 3 lineWidth 2 ) xt "32000,-29000,41000,-13000" pts [ "36750,-13000" "41000,-13000" "41000,-29000" "32000,-29000" ] ) start &31 end &38 sat 32 eat 1 sty 1 stc 0 sf 1 si 0 tg (WTG uid 3374,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 3375,0 va (VaSet font "Verdana,12,0" ) xt "38750,-14400,45350,-13000" st "countOut" blo "38750,-13200" tm "WireNameMgr" ) ) on &44 ) *61 (Wire uid 3398,0 shape (OrthoPolyLine uid 3399,0 va (VaSet vasetType 3 ) xt "17000,19000,28250,22000" pts [ "28250,22000" "17000,22000" "17000,19000" ] ) start &25 end &46 sat 32 eat 32 stc 0 st 0 si 0 tg (WTG uid 3400,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 3401,0 va (VaSet font "Verdana,12,0" ) xt "23250,20600,27450,22000" st "SideL" blo "23250,21800" tm "WireNameMgr" ) ) on &50 ) *62 (Wire uid 3402,0 shape (OrthoPolyLine uid 3403,0 va (VaSet vasetType 3 lineWidth 2 ) xt "26000,-13000,39000,18000" pts [ "39000,-13000" "39000,10000" "26000,10000" "26000,18000" "28250,18000" ] ) start &60 end &21 sat 32 eat 32 sty 1 stc 0 st 0 sf 1 si 0 tg (WTG uid 3404,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 3405,0 va (VaSet font "Verdana,12,0" ) xt "20250,16600,26850,18000" st "countOut" blo "20250,17800" tm "WireNameMgr" ) ) on &44 ) *63 (Wire uid 3408,0 shape (OrthoPolyLine uid 3409,0 va (VaSet vasetType 3 ) xt "11000,-26000,21000,-26000" pts [ "11000,-26000" "21000,-26000" ] ) end &38 sat 16 eat 1 stc 0 st 0 sf 1 si 0 tg (WTG uid 3414,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 3415,0 va (VaSet font "Verdana,12,0" ) xt "13000,-27400,15400,-26000" st "clk" blo "13000,-26200" tm "WireNameMgr" ) ) on &51 ) *64 (Wire uid 3418,0 shape (OrthoPolyLine uid 3419,0 va (VaSet vasetType 3 ) xt "11000,-25000,21000,-25000" pts [ "11000,-25000" "21000,-25000" ] ) end &38 sat 16 eat 1 stc 0 st 0 sf 1 si 0 tg (WTG uid 3424,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 3425,0 va (VaSet font "Verdana,12,0" ) xt "13000,-26400,15500,-25000" st "rst" blo "13000,-25200" tm "WireNameMgr" ) ) on &52 ) ] bg "65535,65535,65535" grid (Grid origin "0,0" isVisible 1 isActive 1 xSpacing 1000 xySpacing 1000 xShown 1 yShown 1 color "32768,32768,32768" ) packageList *65 (PackageList uid 187,0 stg "VerticalLayoutStrategy" textVec [ *66 (Text uid 1297,0 va (VaSet font "Verdana,12,0" ) xt "-7000,19600,2500,21000" st "Package List" blo "-7000,20800" ) *67 (MLText uid 1298,0 va (VaSet ) xt "-7000,21000,10500,27000" st "LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; LIBRARY gates; USE gates.gates.all;" tm "PackageList" ) ] ) compDirBlock (MlTextGroup uid 190,0 stg "VerticalLayoutStrategy" textVec [ *68 (Text uid 191,0 va (VaSet isHidden 1 font "arial,10,1" ) xt "20000,0,31000,1200" st "Compiler Directives" blo "20000,1000" ) *69 (Text uid 192,0 va (VaSet isHidden 1 font "arial,10,1" ) xt "20000,1400,33000,2600" st "Pre-module directives:" blo "20000,2400" ) *70 (MLText uid 193,0 va (VaSet isHidden 1 font "arial,10,0" ) xt "20000,2800,30400,5400" st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) *71 (Text uid 194,0 va (VaSet isHidden 1 font "arial,10,1" ) xt "20000,5600,33500,6800" st "Post-module directives:" blo "20000,6600" ) *72 (MLText uid 195,0 va (VaSet isHidden 1 font "arial,10,0" ) xt "20000,7000,20000,7000" tm "BdCompilerDirectivesTextMgr" ) *73 (Text uid 196,0 va (VaSet isHidden 1 font "arial,10,1" ) xt "20000,7200,33200,8400" st "End-module directives:" blo "20000,8200" ) *74 (MLText uid 197,0 va (VaSet isHidden 1 font "arial,10,0" ) xt "20000,1200,20000,1200" tm "BdCompilerDirectivesTextMgr" ) ] associable 1 ) windowSize "0,0,1715,1119" viewArea "-12592,-45176,77818,15077" cachedDiagramExtent "-7000,-34000,66000,68000" pageSetupInfo (PageSetupInfo ptrCmd "Generic PostScript Printer,winspool," fileName "\\\\EIV\\a309_hplj4050.electro.eiv" toPrinter 1 xMargin 49 yMargin 49 paperWidth 1077 paperHeight 761 windowsPaperWidth 1077 windowsPaperHeight 761 paperType "A4" windowsPaperName "A4" titlesVisible 0 exportedDirectories [ "$HDS_PROJECT_DIR/HTMLExport" ] ) hasePageBreakOrigin 1 pageBreakOrigin "-7000,-79000" lastUid 3433,0 defaultCommentText (CommentText shape (Rectangle layer 0 va (VaSet vasetType 1 fg "65280,65280,46080" lineColor "0,0,32768" ) xt "0,0,15000,5000" ) text (MLText va (VaSet fg "0,0,32768" ) xt "200,200,3200,1400" st " Text " tm "CommentText" wrapOption 3 visibleHeight 4600 visibleWidth 14600 ) ) defaultRequirementText (RequirementText shape (ZoomableIcon layer 0 va (VaSet vasetType 1 fg "59904,39936,65280" lineColor "0,0,32768" ) xt "0,0,1500,1750" iconName "reqTracerRequirement.bmp" iconMaskName "reqTracerRequirement.msk" ) autoResize 1 text (MLText va (VaSet fg "0,0,32768" font "arial,8,0" ) xt "500,2150,1400,3150" st " Text " tm "RequirementText" wrapOption 3 visibleHeight 1350 visibleWidth 1100 ) ) defaultPanel (Panel shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "32768,0,0" lineWidth 2 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (Text va (VaSet ) xt "1000,1000,3300,2000" st "Panel0" blo "1000,1800" tm "PanelText" ) ) ) defaultBlk (Blk shape (Rectangle va (VaSet vasetType 1 fg "39936,56832,65280" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *75 (Text va (VaSet font "Verdana,12,1" ) xt "1500,2550,7900,3950" st "" blo "1500,3750" tm "BdLibraryNameMgr" ) *76 (Text va (VaSet font "Verdana,12,1" ) xt "1500,3950,7000,5350" st "" blo "1500,5150" tm "BlkNameMgr" ) *77 (Text va (VaSet font "Verdana,12,1" ) xt "1500,5350,3000,6750" st "I0" blo "1500,6550" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet isHidden 1 font "Courier New,9,0" ) xt "1500,12550,1500,12550" ) header "" ) elements [ ] ) ) defaultMWComponent (MWC shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "-600,0,8600,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *78 (Text va (VaSet ) xt "-100,3000,2200,4000" st "Library" blo "-100,3800" ) *79 (Text va (VaSet ) xt "-100,4000,5900,5000" st "MWComponent" blo "-100,4800" ) *80 (Text va (VaSet ) xt "-100,5000,500,6000" st "I0" blo "-100,5800" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet isHidden 1 font "Courier New,9,0" ) xt "-7100,1000,-7100,1000" ) header "" ) elements [ ] ) prms (Property pclass "params" pname "params" ptn "String" ) visOptions (mwParamsVisibilityOptions ) ) defaultSaComponent (SaComponent shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "-850,0,8850,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *81 (Text va (VaSet ) xt "-350,2550,1950,3550" st "Library" blo "-350,3350" tm "BdLibraryNameMgr" ) *82 (Text va (VaSet ) xt "-350,3550,5150,4550" st "SaComponent" blo "-350,4350" tm "CptNameMgr" ) *83 (Text va (VaSet ) xt "-350,4550,250,5550" st "I0" blo "-350,5350" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet isHidden 1 font "Courier New,9,0" ) xt "-7350,550,-7350,550" ) header "" ) elements [ ] ) archFileType "UNKNOWN" ) defaultVhdlComponent (VhdlComponent shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "-1350,0,9350,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *84 (Text va (VaSet ) xt "-850,2550,1450,3550" st "Library" blo "-850,3350" ) *85 (Text va (VaSet ) xt "-850,3550,5250,4550" st "VhdlComponent" blo "-850,4350" ) *86 (Text va (VaSet ) xt "-850,4550,-250,5550" st "I0" blo "-850,5350" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet isHidden 1 font "Courier New,9,0" ) xt "-7850,550,-7850,550" ) header "" ) elements [ ] ) entityPath "" archName "" archPath "" ) defaultVerilogComponent (VerilogComponent shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "-2100,0,10100,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *87 (Text va (VaSet ) xt "-1600,2550,700,3550" st "Library" blo "-1600,3350" ) *88 (Text va (VaSet ) xt "-1600,3550,5500,4550" st "VerilogComponent" blo "-1600,4350" ) *89 (Text va (VaSet ) xt "-1600,4550,-1000,5550" st "I0" blo "-1600,5350" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet isHidden 1 font "Courier New,9,0" ) xt "-8600,550,-8600,550" ) header "" ) elements [ ] ) entityPath "" ) defaultHdlText (HdlText shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,37120" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *90 (Text va (VaSet ) xt "2950,3400,4150,4400" st "eb1" blo "2950,4200" tm "HdlTextNameMgr" ) *91 (Text va (VaSet ) xt "2950,4400,3350,5400" st "1" blo "2950,5200" tm "HdlTextNumberMgr" ) ] ) ) defaultEmbeddedText (EmbeddedText commentText (CommentText ps "CenterOffsetStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,18000,5000" ) text (MLText va (VaSet font "Courier New,9,0" ) xt "200,200,2700,1400" st " Text " tm "HdlTextMgr" wrapOption 3 visibleHeight 4600 visibleWidth 17600 ) ) ) defaultGlobalConnector (GlobalConnector shape (Circle va (VaSet vasetType 1 fg "65535,65535,0" ) xt "-1000,-1000,1000,1000" radius 1000 ) name (Text va (VaSet ) xt "-300,-500,300,500" st "G" blo "-300,300" ) ) defaultRipper (Ripper ps "OnConnectorStrategy" shape (Line2D pts [ "0,0" "1000,1000" ] va (VaSet vasetType 1 ) xt "0,0,1000,1000" ) ) defaultBdJunction (BdJunction ps "OnConnectorStrategy" shape (Circle va (VaSet vasetType 1 ) xt "-400,-400,400,400" radius 400 ) ) defaultPortIoIn (PortIoIn shape (CompositeShape va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Pentagon sl 0 ro 270 xt "-2000,-375,-500,375" ) (Line sl 0 ro 270 xt "-500,0,0,0" pts [ "-500,0" "0,0" ] ) ] ) tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet isHidden 1 ) xt "-2875,-375,-2875,-375" ju 2 blo "-2875,-375" tm "WireNameMgr" ) s (Text va (VaSet ) xt "-2875,-375,-2875,-375" ju 2 blo "-2875,-375" tm "SignalTypeMgr" ) ) ) defaultPortIoOut (PortIoOut shape (CompositeShape va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Pentagon sl 0 ro 270 xt "500,-375,2000,375" ) (Line sl 0 ro 270 xt "0,0,500,0" pts [ "0,0" "500,0" ] ) ] ) tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet isHidden 1 ) xt "2875,-375,2875,-375" blo "2875,-375" tm "WireNameMgr" ) s (Text va (VaSet ) xt "2875,-375,2875,-375" blo "2875,-375" tm "SignalTypeMgr" ) ) ) defaultPortIoInOut (PortIoInOut shape (CompositeShape va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Hexagon sl 0 xt "500,-375,2000,375" ) (Line sl 0 xt "0,0,500,0" pts [ "0,0" "500,0" ] ) ] ) tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet isHidden 1 ) xt "3000,500,3000,500" blo "3000,500" tm "WireNameMgr" ) s (Text va (VaSet ) xt "3000,500,3000,500" blo "3000,500" tm "SignalTypeMgr" ) ) ) defaultPortIoBuffer (PortIoBuffer shape (CompositeShape va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" ) optionalChildren [ (Hexagon sl 0 xt "500,-375,2000,375" ) (Line sl 0 xt "0,0,500,0" pts [ "0,0" "500,0" ] ) ] ) tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet isHidden 1 ) xt "3000,500,3000,500" blo "3000,500" tm "WireNameMgr" ) s (Text va (VaSet ) xt "3000,500,3000,500" blo "3000,500" tm "SignalTypeMgr" ) ) ) defaultSignal (Wire shape (OrthoPolyLine va (VaSet vasetType 3 ) pts [ "0,0" "0,0" ] ) ss 0 es 0 sat 32 eat 32 stc 0 st 0 sf 1 si 0 tg (WTG ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet font "Verdana,12,0" ) xt "0,0,2600,1400" st "sig0" blo "0,1200" tm "WireNameMgr" ) ) ) defaultBus (Wire shape (OrthoPolyLine va (VaSet vasetType 3 lineWidth 2 ) pts [ "0,0" "0,0" ] ) ss 0 es 0 sat 32 eat 32 sty 1 stc 0 st 0 sf 1 si 0 tg (WTG ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet font "Verdana,12,0" ) xt "0,0,3900,1400" st "dbus0" blo "0,1200" tm "WireNameMgr" ) ) ) defaultBundle (Bundle shape (OrthoPolyLine va (VaSet vasetType 3 lineColor "32768,0,0" lineStyle 3 lineWidth 2 ) pts [ "0,0" "0,0" ] ) ss 0 es 0 sat 32 eat 32 textGroup (BiTextGroup ps "ConnStartEndStrategy" stg "VerticalLayoutStrategy" first (Text va (VaSet font "Verdana,12,0" ) xt "0,0,5100,1400" st "bundle0" blo "0,1200" tm "BundleNameMgr" ) second (MLText va (VaSet font "Verdana,12,0" ) xt "0,1400,1800,2800" st "()" tm "BundleContentsMgr" ) ) bundleNet &0 ) defaultPortMapFrame (PortMapFrame ps "PortMapFrameStrategy" shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,10000,12000" ) portMapText (BiTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" first (MLText va (VaSet font "Verdana,12,0" ) xt "0,0,5900,1400" st "Auto list" ) second (MLText va (VaSet font "Verdana,12,0" ) xt "0,1400,11800,2800" st "User defined list" tm "PortMapTextMgr" ) ) ) defaultGenFrame (Frame shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "26368,26368,26368" lineStyle 2 lineWidth 2 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (MLText va (VaSet ) xt "0,-1400,18500,-200" st "g0: FOR i IN 0 TO n GENERATE" tm "FrameTitleTextMgr" ) ) seqNum (FrameSequenceNumber ps "TopLeftStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" ) xt "50,50,1050,1750" ) num (Text va (VaSet ) xt "200,300,600,1300" st "1" blo "200,1100" tm "FrameSeqNumMgr" ) ) decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *92 (Text va (VaSet font "Verdana,9,1" ) xt "11800,20000,22600,21200" st "Frame Declarations" blo "11800,21000" ) *93 (MLText va (VaSet ) xt "11800,21200,11800,21200" tm "BdFrameDeclTextMgr" ) ] ) ) defaultBlockFrame (Frame shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "26368,26368,26368" lineStyle 1 lineWidth 2 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (MLText va (VaSet ) xt "0,-1400,11000,-200" st "b0: BLOCK (guard)" tm "FrameTitleTextMgr" ) ) seqNum (FrameSequenceNumber ps "TopLeftStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" ) xt "50,50,1050,1750" ) num (Text va (VaSet ) xt "200,300,600,1300" st "1" blo "200,1100" tm "FrameSeqNumMgr" ) ) decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *94 (Text va (VaSet font "Verdana,9,1" ) xt "11800,20000,22600,21200" st "Frame Declarations" blo "11800,21000" ) *95 (MLText va (VaSet ) xt "11800,21200,11800,21200" tm "BdFrameDeclTextMgr" ) ] ) style 3 ) defaultSaCptPort (CptPort ps "OnEdgeStrategy" shape (Triangle ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet font "Verdana,12,0" ) xt "0,750,2600,2150" st "Port" blo "0,1950" ) ) thePort (LogicalPort decl (Decl n "Port" t "" o 0 ) ) ) defaultSaCptPortBuffer (CptPort ps "OnEdgeStrategy" shape (Diamond va (VaSet vasetType 1 fg "65535,65535,65535" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet font "Verdana,12,0" ) xt "0,750,2600,2150" st "Port" blo "0,1950" ) ) thePort (LogicalPort m 3 decl (Decl n "Port" t "" o 0 ) ) ) defaultDeclText (MLText va (VaSet isHidden 1 font "Courier New,9,0" ) ) archDeclarativeBlock (BdArchDeclBlock uid 1,0 stg "BdArchDeclBlockLS" declLabel (Text uid 2,0 va (VaSet font "Verdana,10,1" ) xt "-7000,55400,1600,56600" st "Declarations" blo "-7000,56400" ) portLabel (Text uid 3,0 va (VaSet isHidden 1 font "Verdana,10,1" ) xt "-7000,56600,-2800,57800" st "Ports:" blo "-7000,57600" ) preUserLabel (Text uid 4,0 va (VaSet font "Verdana,10,1" ) xt "-7000,56600,-1000,57800" st "Pre User:" blo "-7000,57600" ) preUserText (MLText uid 5,0 va (VaSet font "Courier New,10,0" ) xt "-5000,57800,19000,59000" st "constant counterBitNb: positive := 8;" tm "BdDeclarativeTextMgr" ) diagSignalLabel (Text uid 6,0 va (VaSet isHidden 1 font "Verdana,10,1" ) xt "-7000,56600,4000,57800" st "Diagram Signals:" blo "-7000,57600" ) postUserLabel (Text uid 7,0 va (VaSet isHidden 1 font "Verdana,10,1" ) xt "-7000,56600,300,57800" st "Post User:" blo "-7000,57600" ) postUserText (MLText uid 8,0 va (VaSet isHidden 1 font "Courier New,10,0" ) xt "-5000,71000,-5000,71000" tm "BdDeclarativeTextMgr" ) ) commonDM (CommonDM ldm (LogicalDM suid 45,0 usingSuid 1 emptyRow *96 (LEmptyRow ) uid 2142,0 optionalChildren [ *97 (RefLabelRowHdr ) *98 (TitleRowHdr ) *99 (FilterRowHdr ) *100 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *101 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *102 (GroupColHdr tm "GroupColHdrMgr" ) *103 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) *104 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) *105 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) *106 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) *107 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) *108 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) *109 (LeafLogPort port (LogicalPort m 4 decl (Decl n "reset" t "std_ulogic" o 5 suid 1,0 ) ) uid 2131,0 ) *110 (LeafLogPort port (LogicalPort m 4 decl (Decl n "clock" t "std_ulogic" o 3 suid 2,0 ) ) uid 2133,0 ) *111 (LeafLogPort port (LogicalPort m 4 decl (Decl n "resetSync" t "std_ulogic" o 8 suid 40,0 ) ) uid 3376,0 ) *112 (LeafLogPort port (LogicalPort m 4 decl (Decl n "enable" t "std_ulogic" o 9 suid 41,0 ) ) uid 3378,0 ) *113 (LeafLogPort port (LogicalPort m 4 decl (Decl n "countOut" t "unsigned" b "(bitNb-1 DOWNTO 0)" o 10 suid 42,0 ) ) uid 3380,0 ) *114 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl n "SideL" t "std_ulogic" o 6 suid 43,0 ) ) uid 3428,0 ) *115 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl n "clk" t "unsigned" o 7 suid 44,0 ) ) uid 3430,0 ) *116 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl n "rst" t "unsigned" o 8 suid 45,0 ) ) uid 3432,0 ) ] ) pdm (PhysicalDM uid 2155,0 optionalChildren [ *117 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *118 (MRCItem litem &96 pos 8 dimension 20 ) uid 2157,0 optionalChildren [ *119 (MRCItem litem &97 pos 0 dimension 20 uid 2158,0 ) *120 (MRCItem litem &98 pos 1 dimension 23 uid 2159,0 ) *121 (MRCItem litem &99 pos 2 hidden 1 dimension 20 uid 2160,0 ) *122 (MRCItem litem &109 pos 0 dimension 20 uid 2132,0 ) *123 (MRCItem litem &110 pos 1 dimension 20 uid 2134,0 ) *124 (MRCItem litem &111 pos 2 dimension 20 uid 3377,0 ) *125 (MRCItem litem &112 pos 3 dimension 20 uid 3379,0 ) *126 (MRCItem litem &113 pos 4 dimension 20 uid 3381,0 ) *127 (MRCItem litem &114 pos 5 dimension 20 uid 3429,0 ) *128 (MRCItem litem &115 pos 6 dimension 20 uid 3431,0 ) *129 (MRCItem litem &116 pos 7 dimension 20 uid 3433,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 2161,0 optionalChildren [ *130 (MRCItem litem &100 pos 0 dimension 20 uid 2162,0 ) *131 (MRCItem litem &102 pos 1 dimension 50 uid 2163,0 ) *132 (MRCItem litem &103 pos 2 dimension 100 uid 2164,0 ) *133 (MRCItem litem &104 pos 3 dimension 50 uid 2165,0 ) *134 (MRCItem litem &105 pos 4 dimension 100 uid 2166,0 ) *135 (MRCItem litem &106 pos 5 dimension 100 uid 2167,0 ) *136 (MRCItem litem &107 pos 6 dimension 50 uid 2168,0 ) *137 (MRCItem litem &108 pos 7 dimension 80 uid 2169,0 ) ] ) fixedCol 4 fixedRow 2 name "Ports" uid 2156,0 vaOverrides [ ] ) ] ) uid 2141,0 ) genericsCommonDM (CommonDM ldm (LogicalDM emptyRow *138 (LEmptyRow ) uid 2171,0 optionalChildren [ *139 (RefLabelRowHdr ) *140 (TitleRowHdr ) *141 (FilterRowHdr ) *142 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *143 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *144 (GroupColHdr tm "GroupColHdrMgr" ) *145 (NameColHdr tm "GenericNameColHdrMgr" ) *146 (TypeColHdr tm "GenericTypeColHdrMgr" ) *147 (InitColHdr tm "GenericValueColHdrMgr" ) *148 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) *149 (EolColHdr tm "GenericEolColHdrMgr" ) ] ) pdm (PhysicalDM uid 2183,0 optionalChildren [ *150 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *151 (MRCItem litem &138 pos 0 dimension 20 ) uid 2185,0 optionalChildren [ *152 (MRCItem litem &139 pos 0 dimension 20 uid 2186,0 ) *153 (MRCItem litem &140 pos 1 dimension 23 uid 2187,0 ) *154 (MRCItem litem &141 pos 2 hidden 1 dimension 20 uid 2188,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 2189,0 optionalChildren [ *155 (MRCItem litem &142 pos 0 dimension 20 uid 2190,0 ) *156 (MRCItem litem &144 pos 1 dimension 50 uid 2191,0 ) *157 (MRCItem litem &145 pos 2 dimension 100 uid 2192,0 ) *158 (MRCItem litem &146 pos 3 dimension 100 uid 2193,0 ) *159 (MRCItem litem &147 pos 4 dimension 50 uid 2194,0 ) *160 (MRCItem litem &148 pos 5 dimension 50 uid 2195,0 ) *161 (MRCItem litem &149 pos 6 dimension 80 uid 2196,0 ) ] ) fixedCol 3 fixedRow 2 name "Ports" uid 2184,0 vaOverrides [ ] ) ] ) uid 2170,0 type 1 ) activeModelName "BlockDiag" )