DocumentHdrVersion "1.1" Header (DocumentHdr version 2 dialect 11 dmPackageRefs [ (DmPackageRef library "ieee" unitName "std_logic_1164" ) (DmPackageRef library "ieee" unitName "numeric_std" ) ] instances [ (Instance name "I_tester" duLibraryName "Cursor_test" duName "cursor_tester" elements [ ] mwi 0 uid 1774,0 ) (Instance name "I_DUT" duLibraryName "Cursor" duName "cursorCircuit" elements [ (GiElement name "position0" type "positive" value "position0" ) (GiElement name "position1" type "positive" value "position1" ) (GiElement name "position2" type "positive" value "position2" ) (GiElement name "slopeShiftBitNb" type "positive" value "slopeShiftBitNb" ) (GiElement name "pwmBitNb" type "positive" value "pwmBitNb" ) (GiElement name "testLineNb" type "positive" value "testLineNb" ) ] mwi 0 uid 4692,0 ) ] libraryRefs [ "ieee" ] ) version "32.1" appVersion "2019.2 (Build 5)" noEmbeddedEditors 1 model (BlockDiag VExpander (VariableExpander vvMap [ (vvPair variable " " value " " ) (vvPair variable "HDLDir" value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hdl" ) (vvPair variable "HDSDir" value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds" ) (vvPair variable "SideDataDesignDir" value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd.info" ) (vvPair variable "SideDataUserDir" value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd.user" ) (vvPair variable "SourceDir" value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds" ) (vvPair variable "appl" value "HDL Designer" ) (vvPair variable "arch_name" value "struct" ) (vvPair variable "concat_file" value "concatenated" ) (vvPair variable "config" value "%(unit)_%(view)_config" ) (vvPair variable "d" value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" ) (vvPair variable "d_logical" value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" ) (vvPair variable "date" value "17.12.2021" ) (vvPair variable "day" value "ven." ) (vvPair variable "day_long" value "vendredi" ) (vvPair variable "dd" value "17" ) (vvPair variable "designName" value "$DESIGN_NAME" ) (vvPair variable "entity_name" value "cursor_tb" ) (vvPair variable "ext" value "" ) (vvPair variable "f" value "struct.bd" ) (vvPair variable "f_logical" value "struct.bd" ) (vvPair variable "f_noext" value "struct" ) (vvPair variable "graphical_source_author" value "Simon" ) (vvPair variable "graphical_source_date" value "17.12.2021" ) (vvPair variable "graphical_source_group" value "UNKNOWN" ) (vvPair variable "graphical_source_host" value "PC-SDM" ) (vvPair variable "graphical_source_time" value "09:37:57" ) (vvPair variable "group" value "UNKNOWN" ) (vvPair variable "host" value "PC-SDM" ) (vvPair variable "language" value "VHDL" ) (vvPair variable "library" value "Cursor_test" ) (vvPair variable "library_downstream_ModelSim" value "D:\\Users\\ELN_labs\\VHDL_comp" ) (vvPair variable "library_downstream_ModelSimCompiler" value "$SCRATCH_DIR/Cursor_test/work" ) (vvPair variable "mm" value "12" ) (vvPair variable "module_name" value "cursor_tb" ) (vvPair variable "month" value "déc." ) (vvPair variable "month_long" value "décembre" ) (vvPair variable "p" value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd" ) (vvPair variable "p_logical" value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd" ) (vvPair variable "package_name" value "" ) (vvPair variable "project_name" value "hds" ) (vvPair variable "series" value "HDL Designer Series" ) (vvPair variable "task_ADMS" value "" ) (vvPair variable "task_DesignCompilerPath" value "" ) (vvPair variable "task_HDSPath" value "$HDS_HOME" ) (vvPair variable "task_ISEBinPath" value "$ISE_HOME" ) (vvPair variable "task_ISEPath" value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" ) (vvPair variable "task_LeonardoPath" value "" ) (vvPair variable "task_ModelSimPath" value "/usr/opt/Modelsim/modeltech/bin" ) (vvPair variable "task_NC" value "" ) (vvPair variable "task_NC-SimPath" value "" ) (vvPair variable "task_PrecisionRTLPath" value "" ) (vvPair variable "task_QuestaSimPath" value "" ) (vvPair variable "task_VCSPath" value "" ) (vvPair variable "this_ext" value "bd" ) (vvPair variable "this_file" value "struct" ) (vvPair variable "this_file_logical" value "struct" ) (vvPair variable "time" value "09:37:57" ) (vvPair variable "unit" value "cursor_tb" ) (vvPair variable "user" value "Simon" ) (vvPair variable "version" value "2019.2 (Build 5)" ) (vvPair variable "view" value "struct" ) (vvPair variable "year" value "2021" ) (vvPair variable "yy" value "21" ) ] ) LanguageMgr "Vhdl2008LangMgr" uid 198,0 optionalChildren [ *1 (Net uid 1315,0 decl (Decl n "reset" t "std_ulogic" o 9 suid 1,0 ) declText (MLText uid 1316,0 va (VaSet isHidden 1 ) xt "-5000,32800,11300,34000" st "SIGNAL reset : std_ulogic " ) ) *2 (Net uid 1325,0 decl (Decl n "clock" t "std_ulogic" o 2 suid 2,0 ) declText (MLText uid 1326,0 va (VaSet isHidden 1 ) xt "-5000,26800,11400,28000" st "SIGNAL clock : std_ulogic " ) ) *3 (Grouping uid 1487,0 optionalChildren [ *4 (CommentText uid 1489,0 shape (Rectangle uid 1490,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "83000,85000,102000,87000" ) oxt "45000,22000,64000,24000" text (MLText uid 1491,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "83200,85400,98600,86600" st " " tm "CommentText" wrapOption 3 visibleHeight 1600 visibleWidth 18600 ) position 1 ignorePrefs 1 ) *5 (CommentText uid 1492,0 shape (Rectangle uid 1493,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "51000,85000,77000,87000" ) oxt "13000,22000,39000,24000" text (MLText uid 1494,0 va (VaSet fg "32768,0,0" font "Verdana,12,1" ) xt "57150,85300,70850,86700" st " " ju 0 tm "CommentText" wrapOption 3 visibleHeight 1600 visibleWidth 25600 ) position 1 ignorePrefs 1 ) *6 (CommentText uid 1495,0 shape (Rectangle uid 1496,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "56000,91000,77000,93000" ) oxt "18000,28000,39000,30000" text (MLText uid 1497,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "56200,91400,71600,92600" st " by %user on %dd %month %year " tm "CommentText" wrapOption 3 visibleHeight 1600 visibleWidth 20600 ) position 1 ignorePrefs 1 ) *7 (CommentText uid 1498,0 shape (Rectangle uid 1499,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "77000,85000,83000,87000" ) oxt "39000,22000,45000,24000" text (MLText uid 1500,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "77200,85400,81900,86600" st " Project: " tm "CommentText" wrapOption 3 visibleHeight 1600 visibleWidth 5600 ) position 1 ignorePrefs 1 ) *8 (CommentText uid 1501,0 shape (Rectangle uid 1502,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "56000,87000,77000,89000" ) oxt "18000,24000,39000,26000" text (MLText uid 1503,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "56200,87400,71400,88600" st " " tm "CommentText" wrapOption 3 visibleHeight 1600 visibleWidth 20600 ) position 1 ignorePrefs 1 ) *9 (CommentText uid 1504,0 shape (Rectangle uid 1505,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "51000,87000,56000,89000" ) oxt "13000,24000,18000,26000" text (MLText uid 1506,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "51200,87400,54600,88600" st " Title: " tm "CommentText" wrapOption 3 visibleHeight 1600 visibleWidth 4600 ) position 1 ignorePrefs 1 ) *10 (CommentText uid 1507,0 shape (Rectangle uid 1508,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "51000,89000,56000,91000" ) oxt "13000,26000,18000,28000" text (MLText uid 1509,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "51200,89400,54600,90600" st " Path: " tm "CommentText" wrapOption 3 visibleHeight 1600 visibleWidth 4600 ) position 1 ignorePrefs 1 ) *11 (CommentText uid 1510,0 shape (Rectangle uid 1511,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "77000,87000,102000,93000" ) oxt "39000,24000,64000,30000" text (MLText uid 1512,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "77200,87200,91300,88400" st " " tm "CommentText" wrapOption 3 visibleHeight 5600 visibleWidth 24600 ) ignorePrefs 1 ) *12 (CommentText uid 1513,0 shape (Rectangle uid 1514,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "56000,89000,77000,91000" ) oxt "18000,26000,39000,28000" text (MLText uid 1515,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "56200,89400,72900,90600" st " %library/%unit/%view " tm "CommentText" wrapOption 3 visibleHeight 1600 visibleWidth 20600 ) position 1 ignorePrefs 1 ) *13 (CommentText uid 1516,0 shape (Rectangle uid 1517,0 sl 0 va (VaSet vasetType 1 fg "65280,65280,46080" ) xt "51000,91000,56000,93000" ) oxt "13000,28000,18000,30000" text (MLText uid 1518,0 va (VaSet fg "0,0,32768" bg "0,0,32768" ) xt "51200,91400,55500,92600" st " Edited: " tm "CommentText" wrapOption 3 visibleHeight 1600 visibleWidth 4600 ) position 1 ignorePrefs 1 ) ] shape (GroupingShape uid 1488,0 va (VaSet vasetType 1 fg "65535,65535,65535" lineStyle 2 lineWidth 1 ) xt "51000,85000,102000,93000" ) oxt "13000,22000,64000,30000" ) *14 (Blk uid 1774,0 shape (Rectangle uid 1775,0 va (VaSet vasetType 1 fg "39936,56832,65280" lineColor "0,0,32768" lineWidth 2 ) xt "13000,75000,85000,83000" ) oxt "0,0,8000,10000" ttg (MlTextGroup uid 1776,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *15 (Text uid 1777,0 va (VaSet font "Verdana,12,1" ) xt "13600,82900,22000,84200" st "Cursor_test" blo "13600,83900" tm "BdLibraryNameMgr" ) *16 (Text uid 1778,0 va (VaSet font "Verdana,12,1" ) xt "13600,84300,23400,85600" st "cursor_tester" blo "13600,85300" tm "BlkNameMgr" ) *17 (Text uid 1779,0 va (VaSet font "Verdana,12,1" ) xt "13600,85700,19200,87000" st "I_tester" blo "13600,86700" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation uid 1780,0 ps "EdgeToEdgeStrategy" matrix (Matrix uid 1781,0 text (MLText uid 1782,0 va (VaSet isHidden 1 ) xt "25600,87900,25600,87900" ) header "" ) elements [ ] ) ) *18 (Net uid 1923,0 decl (Decl n "testMode" t "std_uLogic" o 15 suid 3,0 ) declText (MLText uid 1924,0 va (VaSet isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13600,-400" st "SIGNAL testMode : std_uLogic " ) ) *19 (Net uid 2444,0 decl (Decl n "sensor2" t "std_uLogic" o 12 suid 4,0 ) declText (MLText uid 2445,0 va (VaSet isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13300,-400" st "SIGNAL sensor2 : std_uLogic " ) ) *20 (Net uid 2452,0 decl (Decl n "sensor1" t "std_uLogic" o 11 suid 5,0 ) declText (MLText uid 2453,0 va (VaSet isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13300,-400" st "SIGNAL sensor1 : std_uLogic " ) ) *21 (Net uid 2597,0 decl (Decl n "motorOn" t "std_uLogic" o 8 suid 6,0 ) declText (MLText uid 2598,0 va (VaSet isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13700,-400" st "SIGNAL motorOn : std_uLogic " ) ) *22 (Net uid 2888,0 decl (Decl n "side1" t "std_uLogic" o 13 suid 7,0 ) declText (MLText uid 2889,0 va (VaSet isHidden 1 font "Verdana,8,0" ) xt "0,-1400,12900,-400" st "SIGNAL side1 : std_uLogic " ) ) *23 (Net uid 2896,0 decl (Decl n "side2" t "std_uLogic" o 14 suid 8,0 ) declText (MLText uid 2897,0 va (VaSet isHidden 1 font "Verdana,8,0" ) xt "0,-1400,12900,-400" st "SIGNAL side2 : std_uLogic " ) ) *24 (Net uid 3009,0 decl (Decl n "go2" t "std_uLogic" o 7 suid 10,0 ) declText (MLText uid 3010,0 va (VaSet isHidden 1 font "Verdana,8,0" ) xt "0,-1400,12900,-400" st "SIGNAL go2 : std_uLogic " ) ) *25 (Net uid 3017,0 decl (Decl n "go1" t "std_uLogic" o 6 suid 11,0 ) declText (MLText uid 3018,0 va (VaSet isHidden 1 font "Verdana,8,0" ) xt "0,-1400,12900,-400" st "SIGNAL go1 : std_uLogic " ) ) *26 (Net uid 3025,0 decl (Decl n "restart" t "std_uLogic" o 10 suid 12,0 ) declText (MLText uid 3026,0 va (VaSet isHidden 1 font "Verdana,8,0" ) xt "0,-1400,12800,-400" st "SIGNAL restart : std_uLogic " ) ) *27 (Net uid 3033,0 decl (Decl n "encoderI" t "std_uLogic" o 5 suid 13,0 ) declText (MLText uid 3034,0 va (VaSet isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13400,-400" st "SIGNAL encoderI : std_uLogic " ) ) *28 (Net uid 3041,0 decl (Decl n "encoderB" t "std_uLogic" o 4 suid 14,0 ) declText (MLText uid 3042,0 va (VaSet isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13600,-400" st "SIGNAL encoderB : std_uLogic " ) ) *29 (Net uid 3049,0 decl (Decl n "encoderA" t "std_uLogic" o 3 suid 15,0 ) declText (MLText uid 3050,0 va (VaSet isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13600,-400" st "SIGNAL encoderA : std_uLogic " ) ) *30 (Net uid 3654,0 decl (Decl n "button4" t "std_uLogic" o 1 suid 16,0 ) declText (MLText uid 3655,0 va (VaSet isHidden 1 ) xt "0,-1400,17300,-200" st "SIGNAL button4 : std_uLogic " ) ) *31 (SaComponent uid 4692,0 optionalChildren [ *32 (CptPort uid 4587,0 ps "OnEdgeStrategy" shape (Triangle uid 4588,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "38250,62625,39000,63375" ) tg (CPTG uid 4589,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 4590,0 va (VaSet font "Verdana,12,0" ) xt "40000,62300,43500,63600" st "clock" blo "40000,63300" ) ) thePort (LogicalPort decl (Decl n "clock" t "std_ulogic" o 2 suid 1,0 ) ) ) *33 (CptPort uid 4592,0 ps "OnEdgeStrategy" shape (Triangle uid 4593,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "38250,64625,39000,65375" ) tg (CPTG uid 4594,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 4595,0 va (VaSet font "Verdana,12,0" ) xt "40000,64300,43500,65600" st "reset" blo "40000,65300" ) ) thePort (LogicalPort decl (Decl n "reset" t "std_ulogic" o 8 suid 2,0 ) ) ) *34 (CptPort uid 4597,0 ps "OnEdgeStrategy" shape (Triangle uid 4598,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "55000,40625,55750,41375" ) tg (CPTG uid 4599,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 4600,0 va (VaSet font "Verdana,12,0" ) xt "50500,40400,54000,41700" st "side1" ju 2 blo "54000,41400" ) ) thePort (LogicalPort m 1 decl (Decl n "side1" t "std_uLogic" o 19 suid 3,0 ) ) ) *35 (CptPort uid 4602,0 ps "OnEdgeStrategy" shape (Triangle uid 4603,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "38250,38625,39000,39375" ) tg (CPTG uid 4604,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 4605,0 va (VaSet font "Verdana,12,0" ) xt "40000,38300,44900,39600" st "restart" blo "40000,39300" ) ) thePort (LogicalPort decl (Decl n "restart" t "std_uLogic" o 9 suid 4,0 ) ) ) *36 (CptPort uid 4607,0 ps "OnEdgeStrategy" shape (Triangle uid 4608,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "38250,42625,39000,43375" ) tg (CPTG uid 4609,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 4610,0 va (VaSet font "Verdana,12,0" ) xt "40000,42300,42100,43600" st "go2" blo "40000,43300" ) ) thePort (LogicalPort decl (Decl n "go2" t "std_uLogic" o 7 suid 5,0 ) ) ) *37 (CptPort uid 4612,0 ps "OnEdgeStrategy" shape (Triangle uid 4613,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "55000,46625,55750,47375" ) tg (CPTG uid 4614,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 4615,0 va (VaSet font "Verdana,12,0" ) xt "49100,46400,54000,47700" st "sensor1" ju 2 blo "54000,47400" ) ) thePort (LogicalPort decl (Decl n "sensor1" t "std_uLogic" o 10 suid 6,0 ) ) ) *38 (CptPort uid 4617,0 ps "OnEdgeStrategy" shape (Triangle uid 4618,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "38250,60625,39000,61375" ) tg (CPTG uid 4619,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 4620,0 va (VaSet font "Verdana,12,0" ) xt "40000,60300,45600,61600" st "testMode" blo "40000,61300" ) ) thePort (LogicalPort decl (Decl n "testMode" t "std_uLogic" o 12 suid 7,0 ) ) ) *39 (CptPort uid 4622,0 ps "OnEdgeStrategy" shape (Triangle uid 4623,0 va (VaSet vasetType 1 fg "0,65535,0" ) xt "46625,34250,47375,35000" ) tg (CPTG uid 4624,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 4625,0 va (VaSet font "Verdana,12,0" ) xt "44700,36000,49600,37300" st "testOut" ju 2 blo "49600,37000" ) ) thePort (LogicalPort m 1 decl (Decl n "testOut" t "std_uLogic_vector" b "(1 TO testLineNb)" o 21 suid 8,0 ) ) ) *40 (CptPort uid 4627,0 ps "OnEdgeStrategy" shape (Triangle uid 4628,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "38250,40625,39000,41375" ) tg (CPTG uid 4629,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 4630,0 va (VaSet font "Verdana,12,0" ) xt "40000,40300,42100,41600" st "go1" blo "40000,41300" ) ) thePort (LogicalPort decl (Decl n "go1" t "std_uLogic" o 6 suid 9,0 ) ) ) *41 (CptPort uid 4632,0 ps "OnEdgeStrategy" shape (Triangle uid 4633,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "55000,42625,55750,43375" ) tg (CPTG uid 4634,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 4635,0 va (VaSet font "Verdana,12,0" ) xt "50500,42400,54000,43700" st "side2" ju 2 blo "54000,43400" ) ) thePort (LogicalPort m 1 decl (Decl n "side2" t "std_uLogic" o 20 suid 10,0 ) ) ) *42 (CptPort uid 4637,0 ps "OnEdgeStrategy" shape (Triangle uid 4638,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "55000,48625,55750,49375" ) tg (CPTG uid 4639,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 4640,0 va (VaSet font "Verdana,12,0" ) xt "49100,48300,54000,49600" st "sensor2" ju 2 blo "54000,49300" ) ) thePort (LogicalPort decl (Decl n "sensor2" t "std_uLogic" o 11 suid 11,0 ) ) ) *43 (CptPort uid 4642,0 ps "OnEdgeStrategy" shape (Triangle uid 4643,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "55000,38625,55750,39375" ) tg (CPTG uid 4644,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 4645,0 va (VaSet font "Verdana,12,0" ) xt "49100,38400,54000,39700" st "motorOn" ju 2 blo "54000,39400" ) ) thePort (LogicalPort m 1 decl (Decl n "motorOn" t "std_uLogic" o 18 suid 12,0 ) ) ) *44 (CptPort uid 4647,0 ps "OnEdgeStrategy" shape (Triangle uid 4648,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "55000,52625,55750,53375" ) tg (CPTG uid 4649,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 4650,0 va (VaSet font "Verdana,12,0" ) xt "48400,52400,54000,53700" st "encoderA" ju 2 blo "54000,53400" ) ) thePort (LogicalPort decl (Decl n "encoderA" t "std_uLogic" o 3 suid 13,0 ) ) ) *45 (CptPort uid 4652,0 ps "OnEdgeStrategy" shape (Triangle uid 4653,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "55000,54625,55750,55375" ) tg (CPTG uid 4654,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 4655,0 va (VaSet font "Verdana,12,0" ) xt "48400,54400,54000,55700" st "encoderB" ju 2 blo "54000,55400" ) ) thePort (LogicalPort decl (Decl n "encoderB" t "std_uLogic" o 4 suid 14,0 ) ) ) *46 (CptPort uid 4657,0 ps "OnEdgeStrategy" shape (Triangle uid 4658,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "55000,56625,55750,57375" ) tg (CPTG uid 4659,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text uid 4660,0 va (VaSet font "Verdana,12,0" ) xt "48400,56400,54000,57700" st "encoderI" ju 2 blo "54000,57400" ) ) thePort (LogicalPort decl (Decl n "encoderI" t "std_uLogic" o 5 suid 15,0 ) ) ) *47 (CptPort uid 4662,0 ps "OnEdgeStrategy" shape (Triangle uid 4663,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "38250,44625,39000,45375" ) tg (CPTG uid 4664,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 4665,0 va (VaSet font "Verdana,12,0" ) xt "40000,44300,44900,45600" st "button4" blo "40000,45300" ) ) thePort (LogicalPort decl (Decl n "button4" t "std_uLogic" o 1 suid 16,0 ) ) ) *48 (CptPort uid 4667,0 ps "OnEdgeStrategy" shape (Triangle uid 4668,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "38250,48625,39000,49375" ) tg (CPTG uid 4669,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 4670,0 va (VaSet font "Verdana,12,0" ) xt "40000,48300,43500,49600" st "CS1_n" blo "40000,49300" ) ) thePort (LogicalPort m 1 decl (Decl n "CS1_n" t "std_ulogic" o 14 suid 2017,0 ) ) ) *49 (CptPort uid 4672,0 ps "OnEdgeStrategy" shape (Triangle uid 4673,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "38250,50625,39000,51375" ) tg (CPTG uid 4674,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 4675,0 va (VaSet font "Verdana,12,0" ) xt "40000,50300,42100,51600" st "SCL" blo "40000,51300" ) ) thePort (LogicalPort m 1 decl (Decl n "SCL" t "std_ulogic" o 16 suid 2018,0 ) ) ) *50 (CptPort uid 4677,0 ps "OnEdgeStrategy" shape (Triangle uid 4678,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "38250,52625,39000,53375" ) tg (CPTG uid 4679,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 4680,0 va (VaSet font "Verdana,12,0" ) xt "40000,52300,41400,53600" st "SI" blo "40000,53300" ) ) thePort (LogicalPort m 1 decl (Decl n "SI" t "std_ulogic" o 17 suid 2019,0 ) ) ) *51 (CptPort uid 4682,0 ps "OnEdgeStrategy" shape (Triangle uid 4683,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "38250,54625,39000,55375" ) tg (CPTG uid 4684,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 4685,0 va (VaSet font "Verdana,12,0" ) xt "40000,54300,41400,55600" st "A0" blo "40000,55300" ) ) thePort (LogicalPort m 1 decl (Decl n "A0" t "std_ulogic" o 13 suid 2020,0 ) ) ) *52 (CptPort uid 4687,0 ps "OnEdgeStrategy" shape (Triangle uid 4688,0 ro 270 va (VaSet vasetType 1 fg "0,65535,0" ) xt "38250,56625,39000,57375" ) tg (CPTG uid 4689,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text uid 4690,0 va (VaSet font "Verdana,12,0" ) xt "40000,56300,43500,57600" st "RST_n" blo "40000,57300" ) ) thePort (LogicalPort m 1 decl (Decl n "RST_n" t "std_ulogic" o 15 suid 2021,0 ) ) ) ] shape (Rectangle uid 4693,0 va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "39000,35000,55000,67000" ) oxt "40000,2000,56000,34000" ttg (MlTextGroup uid 4694,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *53 (Text uid 4695,0 va (VaSet font "Verdana,8,1" ) xt "39100,66700,42100,67600" st "Cursor" blo "39100,67400" tm "BdLibraryNameMgr" ) *54 (Text uid 4696,0 va (VaSet font "Verdana,8,1" ) xt "39100,67600,46100,68500" st "cursorCircuit" blo "39100,68300" tm "CptNameMgr" ) *55 (Text uid 4697,0 va (VaSet font "Verdana,8,1" ) xt "39100,68500,41600,69400" st "I_DUT" blo "39100,69200" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation uid 4698,0 ps "EdgeToEdgeStrategy" matrix (Matrix uid 4699,0 text (MLText uid 4700,0 va (VaSet font "Verdana,8,0" ) xt "39000,70200,61000,76200" st "position0 = position0 ( positive ) position1 = position1 ( positive ) position2 = position2 ( positive ) slopeShiftBitNb = slopeShiftBitNb ( positive ) pwmBitNb = pwmBitNb ( positive ) testLineNb = testLineNb ( positive ) " ) header "" ) elements [ (GiElement name "position0" type "positive" value "position0" ) (GiElement name "position1" type "positive" value "position1" ) (GiElement name "position2" type "positive" value "position2" ) (GiElement name "slopeShiftBitNb" type "positive" value "slopeShiftBitNb" ) (GiElement name "pwmBitNb" type "positive" value "pwmBitNb" ) (GiElement name "testLineNb" type "positive" value "testLineNb" ) ] ) connectByName 1 portVis (PortSigDisplay sTC 0 sT 1 ) archFileType "UNKNOWN" ) *56 (Wire uid 1317,0 shape (OrthoPolyLine uid 1318,0 va (VaSet vasetType 3 ) xt "35000,65000,38250,75000" pts [ "38250,65000" "35000,65000" "35000,75000" ] ) start &33 end &14 sat 32 eat 2 stc 0 st 0 sf 1 si 0 tg (WTG uid 1321,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 1322,0 va (VaSet font "Verdana,12,0" ) xt "35000,63600,39100,65000" st "reset" blo "35000,64800" tm "WireNameMgr" ) ) on &1 ) *57 (Wire uid 1327,0 shape (OrthoPolyLine uid 1328,0 va (VaSet vasetType 3 ) xt "33000,63000,38250,75000" pts [ "38250,63000" "33000,63000" "33000,75000" ] ) start &32 end &14 sat 32 eat 2 stc 0 st 0 sf 1 si 0 tg (WTG uid 1331,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 1332,0 va (VaSet font "Verdana,12,0" ) xt "35000,61600,38800,63000" st "clock" blo "35000,62800" tm "WireNameMgr" ) ) on &2 ) *58 (Wire uid 1925,0 shape (OrthoPolyLine uid 1926,0 va (VaSet vasetType 3 ) xt "31000,61000,38250,75000" pts [ "38250,61000" "31000,61000" "31000,75000" ] ) start &38 end &14 sat 32 eat 2 stc 0 sf 1 si 0 tg (WTG uid 1929,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 1930,0 va (VaSet font "Verdana,12,0" ) xt "31250,59600,37950,61000" st "testMode" blo "31250,60800" tm "WireNameMgr" ) ) on &18 ) *59 (Wire uid 2446,0 shape (OrthoPolyLine uid 2447,0 va (VaSet vasetType 3 ) xt "55750,49000,67000,75000" pts [ "55750,49000" "67000,49000" "67000,75000" ] ) start &42 end &14 sat 32 eat 2 stc 0 sf 1 si 0 tg (WTG uid 2450,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 2451,0 va (VaSet font "Verdana,12,0" ) xt "57750,47600,63650,49000" st "sensor2" blo "57750,48800" tm "WireNameMgr" ) ) on &19 ) *60 (Wire uid 2454,0 shape (OrthoPolyLine uid 2455,0 va (VaSet vasetType 3 ) xt "55750,47000,69000,75000" pts [ "55750,47000" "69000,47000" "69000,75000" ] ) start &37 end &14 sat 32 eat 2 stc 0 sf 1 si 0 tg (WTG uid 2458,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 2459,0 va (VaSet font "Verdana,12,0" ) xt "57750,45600,63650,47000" st "sensor1" blo "57750,46800" tm "WireNameMgr" ) ) on &20 ) *61 (Wire uid 2599,0 shape (OrthoPolyLine uid 2600,0 va (VaSet vasetType 3 ) xt "55750,39000,77000,75000" pts [ "55750,39000" "77000,39000" "77000,75000" ] ) start &43 end &14 sat 32 eat 1 stc 0 sf 1 si 0 tg (WTG uid 2603,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 2604,0 va (VaSet font "Verdana,12,0" ) xt "57750,37600,64050,39000" st "motorOn" blo "57750,38800" tm "WireNameMgr" ) ) on &21 ) *62 (Wire uid 2890,0 shape (OrthoPolyLine uid 2891,0 va (VaSet vasetType 3 ) xt "55750,41000,75000,75000" pts [ "55750,41000" "75000,41000" "75000,75000" ] ) start &34 end &14 sat 32 eat 1 stc 0 sf 1 si 0 tg (WTG uid 2894,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 2895,0 va (VaSet font "Verdana,12,0" ) xt "57750,39600,61950,41000" st "side1" blo "57750,40800" tm "WireNameMgr" ) ) on &22 ) *63 (Wire uid 2898,0 shape (OrthoPolyLine uid 2899,0 va (VaSet vasetType 3 ) xt "55750,43000,73000,75000" pts [ "55750,43000" "73000,43000" "73000,75000" ] ) start &41 end &14 sat 32 eat 1 stc 0 sf 1 si 0 tg (WTG uid 2902,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 2903,0 va (VaSet font "Verdana,12,0" ) xt "57750,41600,61950,43000" st "side2" blo "57750,42800" tm "WireNameMgr" ) ) on &23 ) *64 (Wire uid 3011,0 shape (OrthoPolyLine uid 3012,0 va (VaSet vasetType 3 ) xt "25000,43000,38250,75000" pts [ "38250,43000" "25000,43000" "25000,75000" ] ) start &36 end &14 sat 32 eat 2 stc 0 sf 1 si 0 tg (WTG uid 3015,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 3016,0 va (VaSet font "Verdana,12,0" ) xt "35250,41600,38450,43000" st "go2" blo "35250,42800" tm "WireNameMgr" ) ) on &24 ) *65 (Wire uid 3019,0 shape (OrthoPolyLine uid 3020,0 va (VaSet vasetType 3 ) xt "23000,41000,38250,75000" pts [ "38250,41000" "23000,41000" "23000,75000" ] ) start &40 end &14 sat 32 eat 2 stc 0 sf 1 si 0 tg (WTG uid 3023,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 3024,0 va (VaSet font "Verdana,12,0" ) xt "35250,39600,38450,41000" st "go1" blo "35250,40800" tm "WireNameMgr" ) ) on &25 ) *66 (Wire uid 3027,0 shape (OrthoPolyLine uid 3028,0 va (VaSet vasetType 3 ) xt "21000,39000,38250,75000" pts [ "38250,39000" "21000,39000" "21000,75000" ] ) start &35 end &14 sat 32 eat 2 stc 0 sf 1 si 0 tg (WTG uid 3031,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 3032,0 va (VaSet font "Verdana,12,0" ) xt "33250,37600,38350,39000" st "restart" blo "33250,38800" tm "WireNameMgr" ) ) on &26 ) *67 (Wire uid 3035,0 shape (OrthoPolyLine uid 3036,0 va (VaSet vasetType 3 ) xt "55750,57000,59000,75000" pts [ "55750,57000" "59000,57000" "59000,75000" ] ) start &46 end &14 sat 32 eat 2 stc 0 sf 1 si 0 tg (WTG uid 3039,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 3040,0 va (VaSet font "Verdana,12,0" ) xt "57750,55600,64150,57000" st "encoderI" blo "57750,56800" tm "WireNameMgr" ) ) on &27 ) *68 (Wire uid 3043,0 shape (OrthoPolyLine uid 3044,0 va (VaSet vasetType 3 ) xt "55750,55000,61000,75000" pts [ "55750,55000" "61000,55000" "61000,75000" ] ) start &45 end &14 sat 32 eat 2 stc 0 sf 1 si 0 tg (WTG uid 3047,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 3048,0 va (VaSet font "Verdana,12,0" ) xt "57750,53600,64450,55000" st "encoderB" blo "57750,54800" tm "WireNameMgr" ) ) on &28 ) *69 (Wire uid 3051,0 shape (OrthoPolyLine uid 3052,0 va (VaSet vasetType 3 ) xt "55750,53000,63000,75000" pts [ "55750,53000" "63000,53000" "63000,75000" ] ) start &44 end &14 sat 32 eat 2 stc 0 sf 1 si 0 tg (WTG uid 3055,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 3056,0 va (VaSet font "Verdana,12,0" ) xt "57750,51600,64450,53000" st "encoderA" blo "57750,52800" tm "WireNameMgr" ) ) on &29 ) *70 (Wire uid 3656,0 shape (OrthoPolyLine uid 3657,0 va (VaSet vasetType 3 ) xt "27000,45000,38250,75000" pts [ "38250,45000" "27000,45000" "27000,75000" ] ) start &47 end &14 sat 32 eat 2 stc 0 sf 1 si 0 tg (WTG uid 3660,0 ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text uid 3661,0 va (VaSet font "Verdana,12,0" ) xt "32000,43600,37800,45000" st "button4" blo "32000,44800" tm "WireNameMgr" ) ) on &30 ) ] bg "65535,65535,65535" grid (Grid origin "0,0" isVisible 1 isActive 1 xSpacing 1000 xySpacing 1000 xShown 1 yShown 1 color "32768,32768,32768" ) packageList *71 (PackageList uid 187,0 stg "VerticalLayoutStrategy" textVec [ *72 (Text uid 1297,0 va (VaSet font "Verdana,12,0" ) xt "-7000,19600,2100,20900" st "Package List" blo "-7000,20600" ) *73 (MLText uid 1298,0 va (VaSet ) xt "-7000,21000,10500,24600" st "LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all;" tm "PackageList" ) ] ) compDirBlock (MlTextGroup uid 190,0 stg "VerticalLayoutStrategy" textVec [ *74 (Text uid 191,0 va (VaSet isHidden 1 font "Verdana,10,1" ) xt "20000,0,32000,1000" st "Compiler Directives" blo "20000,800" ) *75 (Text uid 192,0 va (VaSet isHidden 1 font "Verdana,10,1" ) xt "20000,1400,33800,2400" st "Pre-module directives:" blo "20000,2200" ) *76 (MLText uid 193,0 va (VaSet isHidden 1 ) xt "20000,2800,32100,5200" st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) *77 (Text uid 194,0 va (VaSet isHidden 1 font "Verdana,10,1" ) xt "20000,5600,34400,6600" st "Post-module directives:" blo "20000,6400" ) *78 (MLText uid 195,0 va (VaSet isHidden 1 ) xt "20000,7000,20000,7000" tm "BdCompilerDirectivesTextMgr" ) *79 (Text uid 196,0 va (VaSet isHidden 1 font "Verdana,10,1" ) xt "20000,7200,33800,8200" st "End-module directives:" blo "20000,8000" ) *80 (MLText uid 197,0 va (VaSet isHidden 1 ) xt "20000,1200,20000,1200" tm "BdCompilerDirectivesTextMgr" ) ] associable 1 ) windowSize "-8,-8,1722,1111" viewArea "-8600,-4000,158289,106111" cachedDiagramExtent "-7000,-1400,102000,93000" pageSetupInfo (PageSetupInfo ptrCmd "\\\\ipp://ipp.hevs.ch\\PREA309_HPLJP3005DN,winspool," fileName "\\\\EIV\\a309_hplj4050.electro.eiv" toPrinter 1 xMargin 48 yMargin 48 paperWidth 761 paperHeight 1077 unixPaperWidth 595 unixPaperHeight 842 windowsPaperWidth 761 windowsPaperHeight 1077 paperType "A4" unixPaperName "A4 (210mm x 297mm)" windowsPaperName "A4" windowsPaperType 9 scale 67 titlesVisible 0 exportedDirectories [ "$HDS_PROJECT_DIR/HTMLExport" ] boundaryWidth 0 ) hasePageBreakOrigin 1 pageBreakOrigin "-7000,19000" lastUid 5464,0 defaultCommentText (CommentText shape (Rectangle layer 0 va (VaSet vasetType 1 fg "65280,65280,46080" lineColor "0,0,32768" ) xt "0,0,15000,5000" ) text (MLText va (VaSet fg "0,0,32768" ) xt "200,200,3200,1400" st " Text " tm "CommentText" wrapOption 3 visibleHeight 4600 visibleWidth 14600 ) ) defaultRequirementText (RequirementText shape (ZoomableIcon layer 0 va (VaSet vasetType 1 fg "59904,39936,65280" lineColor "0,0,32768" ) xt "0,0,1500,1750" iconName "reqTracerRequirement.bmp" iconMaskName "reqTracerRequirement.msk" ) autoResize 1 text (MLText va (VaSet fg "0,0,32768" font "Verdana,8,0" ) xt "450,2150,1450,3150" st " Text " tm "RequirementText" wrapOption 3 visibleHeight 1350 visibleWidth 1100 ) ) defaultPanel (Panel shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "32768,0,0" lineWidth 2 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (Text va (VaSet ) xt "1000,1000,3300,2000" st "Panel0" blo "1000,1800" tm "PanelText" ) ) ) defaultBlk (Blk shape (Rectangle va (VaSet vasetType 1 fg "39936,56832,65280" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *81 (Text va (VaSet font "Verdana,12,1" ) xt "1500,2550,7900,3950" st "" blo "1500,3750" tm "BdLibraryNameMgr" ) *82 (Text va (VaSet font "Verdana,12,1" ) xt "1500,3950,7000,5350" st "" blo "1500,5150" tm "BlkNameMgr" ) *83 (Text va (VaSet font "Verdana,12,1" ) xt "1500,5350,3000,6750" st "I0" blo "1500,6550" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet isHidden 1 ) xt "1500,12550,1500,12550" ) header "" ) elements [ ] ) ) defaultMWComponent (MWC shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "-600,0,8600,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *84 (Text va (VaSet ) xt "-100,3000,2200,4000" st "Library" blo "-100,3800" ) *85 (Text va (VaSet ) xt "-100,4000,5900,5000" st "MWComponent" blo "-100,4800" ) *86 (Text va (VaSet ) xt "-100,5000,500,6000" st "I0" blo "-100,5800" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet isHidden 1 ) xt "-7100,1000,-7100,1000" ) header "" ) elements [ ] ) prms (Property pclass "params" pname "params" ptn "String" ) visOptions (mwParamsVisibilityOptions ) ) defaultSaComponent (SaComponent shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "-850,0,8850,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *87 (Text va (VaSet ) xt "-350,2550,1950,3550" st "Library" blo "-350,3350" tm "BdLibraryNameMgr" ) *88 (Text va (VaSet ) xt "-350,3550,5150,4550" st "SaComponent" blo "-350,4350" tm "CptNameMgr" ) *89 (Text va (VaSet ) xt "-350,4550,250,5550" st "I0" blo "-350,5350" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet isHidden 1 ) xt "-7350,550,-7350,550" ) header "" ) elements [ ] ) archFileType "UNKNOWN" ) defaultVhdlComponent (VhdlComponent shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "-1350,0,9350,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *90 (Text va (VaSet ) xt "-850,2550,1450,3550" st "Library" blo "-850,3350" ) *91 (Text va (VaSet ) xt "-850,3550,5250,4550" st "VhdlComponent" blo "-850,4350" ) *92 (Text va (VaSet ) xt "-850,4550,-250,5550" st "I0" blo "-850,5350" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet isHidden 1 ) xt "-7850,550,-7850,550" ) header "" ) elements [ ] ) entityPath "" archName "" archPath "" ) defaultVerilogComponent (VerilogComponent shape (Rectangle va (VaSet vasetType 1 fg "0,65535,0" lineColor "0,32896,0" lineWidth 2 ) xt "-2100,0,10100,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *93 (Text va (VaSet ) xt "-1600,2550,700,3550" st "Library" blo "-1600,3350" ) *94 (Text va (VaSet ) xt "-1600,3550,5500,4550" st "VerilogComponent" blo "-1600,4350" ) *95 (Text va (VaSet ) xt "-1600,4550,-1000,5550" st "I0" blo "-1600,5350" tm "InstanceNameMgr" ) ] ) ga (GenericAssociation ps "EdgeToEdgeStrategy" matrix (Matrix text (MLText va (VaSet isHidden 1 ) xt "-8600,550,-8600,550" ) header "" ) elements [ ] ) entityPath "" ) defaultHdlText (HdlText shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,37120" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,8000,10000" ) ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *96 (Text va (VaSet ) xt "2950,3400,4150,4400" st "eb1" blo "2950,4200" tm "HdlTextNameMgr" ) *97 (Text va (VaSet ) xt "2950,4400,3350,5400" st "1" blo "2950,5200" tm "HdlTextNumberMgr" ) ] ) ) defaultEmbeddedText (EmbeddedText commentText (CommentText ps "CenterOffsetStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,18000,5000" ) text (MLText va (VaSet ) xt "200,200,3200,1400" st " Text " tm "HdlTextMgr" wrapOption 3 visibleHeight 4600 visibleWidth 17600 ) ) ) defaultGlobalConnector (GlobalConnector shape (Circle va (VaSet vasetType 1 fg "65535,65535,0" ) xt "-1000,-1000,1000,1000" radius 1000 ) name (Text va (VaSet ) xt "-300,-500,300,500" st "G" blo "-300,300" ) ) defaultRipper (Ripper ps "OnConnectorStrategy" shape (Line2D pts [ "0,0" "1000,1000" ] va (VaSet vasetType 1 ) xt "0,0,1000,1000" ) ) defaultBdJunction (BdJunction ps "OnConnectorStrategy" shape (Circle va (VaSet vasetType 1 ) xt "-400,-400,400,400" radius 400 ) ) defaultPortIoIn (PortIoIn shape (CompositeShape va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Pentagon sl 0 ro 270 xt "-2000,-375,-500,375" ) (Line sl 0 ro 270 xt "-500,0,0,0" pts [ "-500,0" "0,0" ] ) ] ) tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet isHidden 1 ) xt "-2875,-375,-2875,-375" ju 2 blo "-2875,-375" tm "WireNameMgr" ) s (Text va (VaSet ) xt "-2875,-375,-2875,-375" ju 2 blo "-2875,-375" tm "SignalTypeMgr" ) ) ) defaultPortIoOut (PortIoOut shape (CompositeShape va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Pentagon sl 0 ro 270 xt "500,-375,2000,375" ) (Line sl 0 ro 270 xt "0,0,500,0" pts [ "0,0" "500,0" ] ) ] ) tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet isHidden 1 ) xt "2875,-375,2875,-375" blo "2875,-375" tm "WireNameMgr" ) s (Text va (VaSet ) xt "2875,-375,2875,-375" blo "2875,-375" tm "SignalTypeMgr" ) ) ) defaultPortIoInOut (PortIoInOut shape (CompositeShape va (VaSet vasetType 1 fg "0,0,32768" ) optionalChildren [ (Hexagon sl 0 xt "500,-375,2000,375" ) (Line sl 0 xt "0,0,500,0" pts [ "0,0" "500,0" ] ) ] ) tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet isHidden 1 ) xt "3000,500,3000,500" blo "3000,500" tm "WireNameMgr" ) s (Text va (VaSet ) xt "3000,500,3000,500" blo "3000,500" tm "SignalTypeMgr" ) ) ) defaultPortIoBuffer (PortIoBuffer shape (CompositeShape va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" ) optionalChildren [ (Hexagon sl 0 xt "500,-375,2000,375" ) (Line sl 0 xt "0,0,500,0" pts [ "0,0" "500,0" ] ) ] ) tg (WTG ps "PortIoTextPlaceStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet isHidden 1 ) xt "3000,500,3000,500" blo "3000,500" tm "WireNameMgr" ) s (Text va (VaSet ) xt "3000,500,3000,500" blo "3000,500" tm "SignalTypeMgr" ) ) ) defaultSignal (Wire shape (OrthoPolyLine va (VaSet vasetType 3 ) pts [ "0,0" "0,0" ] ) ss 0 es 0 sat 32 eat 32 stc 0 st 0 sf 1 si 0 tg (WTG ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet font "Verdana,12,0" ) xt "0,0,2600,1400" st "sig0" blo "0,1200" tm "WireNameMgr" ) ) ) defaultBus (Wire shape (OrthoPolyLine va (VaSet vasetType 3 lineWidth 2 ) pts [ "0,0" "0,0" ] ) ss 0 es 0 sat 32 eat 32 sty 1 stc 0 st 0 sf 1 si 0 tg (WTG ps "ConnStartEndStrategy" stg "STSignalDisplayStrategy" f (Text va (VaSet font "Verdana,12,0" ) xt "0,0,3900,1400" st "dbus0" blo "0,1200" tm "WireNameMgr" ) ) ) defaultBundle (Bundle shape (OrthoPolyLine va (VaSet vasetType 3 lineColor "32768,0,0" lineStyle 3 lineWidth 2 ) pts [ "0,0" "0,0" ] ) ss 0 es 0 sat 32 eat 32 textGroup (BiTextGroup ps "ConnStartEndStrategy" stg "VerticalLayoutStrategy" first (Text va (VaSet font "Verdana,12,0" ) xt "0,0,5100,1400" st "bundle0" blo "0,1200" tm "BundleNameMgr" ) second (MLText va (VaSet font "Verdana,12,0" ) xt "0,1400,1800,2800" st "()" tm "BundleContentsMgr" ) ) bundleNet &0 ) defaultPortMapFrame (PortMapFrame ps "PortMapFrameStrategy" shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "0,0,32768" lineWidth 2 ) xt "0,0,10000,12000" ) portMapText (BiTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" first (MLText va (VaSet font "Verdana,12,0" ) xt "0,0,5900,1400" st "Auto list" ) second (MLText va (VaSet font "Verdana,12,0" ) xt "0,1400,11800,2800" st "User defined list" tm "PortMapTextMgr" ) ) ) defaultGenFrame (Frame shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "26368,26368,26368" lineStyle 2 lineWidth 2 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (MLText va (VaSet ) xt "0,-1400,18500,-200" st "g0: FOR i IN 0 TO n GENERATE" tm "FrameTitleTextMgr" ) ) seqNum (FrameSequenceNumber ps "TopLeftStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" ) xt "50,50,1050,1750" ) num (Text va (VaSet ) xt "200,300,600,1300" st "1" blo "200,1100" tm "FrameSeqNumMgr" ) ) decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *98 (Text va (VaSet font "Verdana,9,1" ) xt "11800,20000,22600,21200" st "Frame Declarations" blo "11800,21000" ) *99 (MLText va (VaSet ) xt "11800,21200,11800,21200" tm "BdFrameDeclTextMgr" ) ] ) ) defaultBlockFrame (Frame shape (RectFrame va (VaSet vasetType 1 fg "65535,65535,65535" lineColor "26368,26368,26368" lineStyle 1 lineWidth 2 ) xt "0,0,20000,20000" ) title (TextAssociate ps "TopLeftStrategy" text (MLText va (VaSet ) xt "0,-1400,11000,-200" st "b0: BLOCK (guard)" tm "FrameTitleTextMgr" ) ) seqNum (FrameSequenceNumber ps "TopLeftStrategy" shape (Rectangle va (VaSet vasetType 1 fg "65535,65535,65535" ) xt "50,50,1050,1750" ) num (Text va (VaSet ) xt "200,300,600,1300" st "1" blo "200,1100" tm "FrameSeqNumMgr" ) ) decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ *100 (Text va (VaSet font "Verdana,9,1" ) xt "11800,20000,22600,21200" st "Frame Declarations" blo "11800,21000" ) *101 (MLText va (VaSet ) xt "11800,21200,11800,21200" tm "BdFrameDeclTextMgr" ) ] ) style 3 ) defaultSaCptPort (CptPort ps "OnEdgeStrategy" shape (Triangle ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet font "Verdana,12,0" ) xt "0,750,2600,2150" st "Port" blo "0,1950" ) ) thePort (LogicalPort decl (Decl n "Port" t "" o 0 ) ) ) defaultSaCptPortBuffer (CptPort ps "OnEdgeStrategy" shape (Diamond va (VaSet vasetType 1 fg "65535,65535,65535" ) xt "0,0,750,750" ) tg (CPTG ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text va (VaSet font "Verdana,12,0" ) xt "0,750,2600,2150" st "Port" blo "0,1950" ) ) thePort (LogicalPort m 3 decl (Decl n "Port" t "" o 0 ) ) ) defaultDeclText (MLText va (VaSet isHidden 1 ) ) archDeclarativeBlock (BdArchDeclBlock uid 1,0 stg "BdArchDeclBlockLS" declLabel (Text uid 2,0 va (VaSet font "Verdana,10,1" ) xt "-7000,24400,800,25400" st "Declarations" blo "-7000,25200" ) portLabel (Text uid 3,0 va (VaSet isHidden 1 font "Verdana,10,1" ) xt "-7000,25600,-3400,26600" st "Ports:" blo "-7000,26400" ) preUserLabel (Text uid 4,0 va (VaSet font "Verdana,10,1" ) xt "-7000,25400,-1600,26400" st "Pre User:" blo "-7000,26200" ) preUserText (MLText uid 5,0 va (VaSet ) xt "-5000,26400,38900,36000" st "constant stepsPerTurn : positive := 500 * 4; constant mmPerTurn : real:= 1.75; constant position0 : positive := integer(3.0 * real(stepsPerTurn) / mmPerTurn ); constant position1 : positive := integer(8.0 * real(stepsPerTurn) / mmPerTurn ); constant position2 : positive := integer(12.0 * real(stepsPerTurn) / mmPerTurn ); constant slopeShiftBitNb : positive := 2; constant pwmBitNb : positive := 8; constant testLineNb : positive := 16;" tm "BdDeclarativeTextMgr" ) diagSignalLabel (Text uid 6,0 va (VaSet isHidden 1 font "Verdana,10,1" ) xt "-7000,25600,3200,26600" st "Diagram Signals:" blo "-7000,26400" ) postUserLabel (Text uid 7,0 va (VaSet isHidden 1 font "Verdana,10,1" ) xt "-7000,25600,-400,26600" st "Post User:" blo "-7000,26400" ) postUserText (MLText uid 8,0 va (VaSet isHidden 1 ) xt "-5000,40000,-5000,40000" tm "BdDeclarativeTextMgr" ) ) commonDM (CommonDM ldm (LogicalDM suid 38,0 usingSuid 1 emptyRow *102 (LEmptyRow ) uid 3264,0 optionalChildren [ *103 (RefLabelRowHdr ) *104 (TitleRowHdr ) *105 (FilterRowHdr ) *106 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *107 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *108 (GroupColHdr tm "GroupColHdrMgr" ) *109 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) *110 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) *111 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) *112 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) *113 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) *114 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) *115 (LeafLogPort port (LogicalPort m 4 decl (Decl n "reset" t "std_ulogic" o 9 suid 1,0 ) ) uid 3233,0 ) *116 (LeafLogPort port (LogicalPort m 4 decl (Decl n "clock" t "std_ulogic" o 2 suid 2,0 ) ) uid 3235,0 ) *117 (LeafLogPort port (LogicalPort m 4 decl (Decl n "testMode" t "std_uLogic" o 15 suid 3,0 ) ) uid 3237,0 ) *118 (LeafLogPort port (LogicalPort m 4 decl (Decl n "sensor2" t "std_uLogic" o 12 suid 4,0 ) ) uid 3239,0 ) *119 (LeafLogPort port (LogicalPort m 4 decl (Decl n "sensor1" t "std_uLogic" o 11 suid 5,0 ) ) uid 3241,0 ) *120 (LeafLogPort port (LogicalPort m 4 decl (Decl n "motorOn" t "std_uLogic" o 8 suid 6,0 ) ) uid 3243,0 ) *121 (LeafLogPort port (LogicalPort m 4 decl (Decl n "side1" t "std_uLogic" o 13 suid 7,0 ) ) uid 3245,0 ) *122 (LeafLogPort port (LogicalPort m 4 decl (Decl n "side2" t "std_uLogic" o 14 suid 8,0 ) ) uid 3247,0 ) *123 (LeafLogPort port (LogicalPort m 4 decl (Decl n "go2" t "std_uLogic" o 7 suid 10,0 ) ) uid 3251,0 ) *124 (LeafLogPort port (LogicalPort m 4 decl (Decl n "go1" t "std_uLogic" o 6 suid 11,0 ) ) uid 3253,0 ) *125 (LeafLogPort port (LogicalPort m 4 decl (Decl n "restart" t "std_uLogic" o 10 suid 12,0 ) ) uid 3255,0 ) *126 (LeafLogPort port (LogicalPort m 4 decl (Decl n "encoderI" t "std_uLogic" o 5 suid 13,0 ) ) uid 3257,0 ) *127 (LeafLogPort port (LogicalPort m 4 decl (Decl n "encoderB" t "std_uLogic" o 4 suid 14,0 ) ) uid 3259,0 ) *128 (LeafLogPort port (LogicalPort m 4 decl (Decl n "encoderA" t "std_uLogic" o 3 suid 15,0 ) ) uid 3261,0 ) *129 (LeafLogPort port (LogicalPort m 4 decl (Decl n "button4" t "std_uLogic" o 1 suid 16,0 ) ) uid 3662,0 ) ] ) pdm (PhysicalDM displayShortBounds 1 editShortBounds 1 uid 3277,0 optionalChildren [ *130 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *131 (MRCItem litem &102 pos 15 dimension 20 ) uid 3279,0 optionalChildren [ *132 (MRCItem litem &103 pos 0 dimension 20 uid 3280,0 ) *133 (MRCItem litem &104 pos 1 dimension 23 uid 3281,0 ) *134 (MRCItem litem &105 pos 2 hidden 1 dimension 20 uid 3282,0 ) *135 (MRCItem litem &115 pos 0 dimension 20 uid 3234,0 ) *136 (MRCItem litem &116 pos 1 dimension 20 uid 3236,0 ) *137 (MRCItem litem &117 pos 2 dimension 20 uid 3238,0 ) *138 (MRCItem litem &118 pos 3 dimension 20 uid 3240,0 ) *139 (MRCItem litem &119 pos 4 dimension 20 uid 3242,0 ) *140 (MRCItem litem &120 pos 5 dimension 20 uid 3244,0 ) *141 (MRCItem litem &121 pos 6 dimension 20 uid 3246,0 ) *142 (MRCItem litem &122 pos 7 dimension 20 uid 3248,0 ) *143 (MRCItem litem &123 pos 8 dimension 20 uid 3252,0 ) *144 (MRCItem litem &124 pos 9 dimension 20 uid 3254,0 ) *145 (MRCItem litem &125 pos 10 dimension 20 uid 3256,0 ) *146 (MRCItem litem &126 pos 11 dimension 20 uid 3258,0 ) *147 (MRCItem litem &127 pos 12 dimension 20 uid 3260,0 ) *148 (MRCItem litem &128 pos 13 dimension 20 uid 3262,0 ) *149 (MRCItem litem &129 pos 14 dimension 20 uid 3663,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 3283,0 optionalChildren [ *150 (MRCItem litem &106 pos 0 dimension 20 uid 3284,0 ) *151 (MRCItem litem &108 pos 1 dimension 50 uid 3285,0 ) *152 (MRCItem litem &109 pos 2 dimension 100 uid 3286,0 ) *153 (MRCItem litem &110 pos 3 dimension 50 uid 3287,0 ) *154 (MRCItem litem &111 pos 4 dimension 100 uid 3288,0 ) *155 (MRCItem litem &112 pos 5 dimension 100 uid 3289,0 ) *156 (MRCItem litem &113 pos 6 dimension 50 uid 3290,0 ) *157 (MRCItem litem &114 pos 7 dimension 80 uid 3291,0 ) ] ) fixedCol 4 fixedRow 2 name "Ports" uid 3278,0 vaOverrides [ ] ) ] ) uid 3263,0 ) genericsCommonDM (CommonDM ldm (LogicalDM emptyRow *158 (LEmptyRow ) uid 3293,0 optionalChildren [ *159 (RefLabelRowHdr ) *160 (TitleRowHdr ) *161 (FilterRowHdr ) *162 (RefLabelColHdr tm "RefLabelColHdrMgr" ) *163 (RowExpandColHdr tm "RowExpandColHdrMgr" ) *164 (GroupColHdr tm "GroupColHdrMgr" ) *165 (NameColHdr tm "GenericNameColHdrMgr" ) *166 (TypeColHdr tm "GenericTypeColHdrMgr" ) *167 (InitColHdr tm "GenericValueColHdrMgr" ) *168 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) *169 (EolColHdr tm "GenericEolColHdrMgr" ) ] ) pdm (PhysicalDM uid 3305,0 optionalChildren [ *170 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" ) cellVa (MVa cellColor "65535,65535,65535" fontColor "0,0,0" font "Tahoma,10,0" ) groupVa (MVa cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) emptyMRCItem *171 (MRCItem litem &158 pos 0 dimension 20 ) uid 3307,0 optionalChildren [ *172 (MRCItem litem &159 pos 0 dimension 20 uid 3308,0 ) *173 (MRCItem litem &160 pos 1 dimension 23 uid 3309,0 ) *174 (MRCItem litem &161 pos 2 hidden 1 dimension 20 uid 3310,0 ) ] ) sheetCol (SheetCol propVa (MVa cellColor "0,49152,49152" fontColor "0,0,0" font "Tahoma,10,0" textAngle 90 ) uid 3311,0 optionalChildren [ *175 (MRCItem litem &162 pos 0 dimension 20 uid 3312,0 ) *176 (MRCItem litem &164 pos 1 dimension 50 uid 3313,0 ) *177 (MRCItem litem &165 pos 2 dimension 100 uid 3314,0 ) *178 (MRCItem litem &166 pos 3 dimension 100 uid 3315,0 ) *179 (MRCItem litem &167 pos 4 dimension 50 uid 3316,0 ) *180 (MRCItem litem &168 pos 5 dimension 50 uid 3317,0 ) *181 (MRCItem litem &169 pos 6 dimension 80 uid 3318,0 ) ] ) fixedCol 3 fixedRow 2 name "Ports" uid 3306,0 vaOverrides [ ] ) ] ) uid 3292,0 type 1 ) activeModelName "BlockDiag" )