1
0
mirror of https://github.com/Klagarge/Cursor.git synced 2024-11-23 09:53:29 +00:00
Cursor/Libs/Sequential/hdl/DFFE_sim1.vhd
2021-11-24 10:50:51 +01:00

17 lines
264 B
VHDL

ARCHITECTURE sim OF DFFE_pre IS
BEGIN
process(clk, pre)
begin
if pre = '1' then
q <= '1' after delay;
elsif rising_edge(clk) then
if e = '1' then
q <= d after delay;
end if;
end if;
end process;
END ARCHITECTURE sim;