mirror of
https://github.com/Klagarge/Cursor.git
synced 2024-11-23 09:53:29 +00:00
3220 lines
39 KiB
Plaintext
3220 lines
39 KiB
Plaintext
DocumentHdrVersion "1.1"
|
|
Header (DocumentHdr
|
|
version 2
|
|
dialect 11
|
|
dmPackageRefs [
|
|
(DmPackageRef
|
|
library "ieee"
|
|
unitName "std_logic_1164"
|
|
)
|
|
(DmPackageRef
|
|
library "ieee"
|
|
unitName "numeric_std"
|
|
)
|
|
]
|
|
instances [
|
|
(Instance
|
|
name "I_tester"
|
|
duLibraryName "Memory_test"
|
|
duName "fifo_tester"
|
|
elements [
|
|
(GiElement
|
|
name "dataBitNb"
|
|
type "positive"
|
|
value "dataBitNb"
|
|
)
|
|
(GiElement
|
|
name "fifoDepth"
|
|
type "positive"
|
|
value "fifoDepth"
|
|
)
|
|
]
|
|
mwi 0
|
|
uid 1774,0
|
|
)
|
|
(Instance
|
|
name "I_DUT"
|
|
duLibraryName "Memory"
|
|
duName "FIFO"
|
|
elements [
|
|
(GiElement
|
|
name "dataBitNb"
|
|
type "positive"
|
|
value "dataBitNb"
|
|
)
|
|
(GiElement
|
|
name "depth"
|
|
type "positive"
|
|
value "fifoDepth"
|
|
)
|
|
]
|
|
mwi 0
|
|
uid 5921,0
|
|
)
|
|
]
|
|
libraryRefs [
|
|
"ieee"
|
|
]
|
|
)
|
|
version "31.1"
|
|
appVersion "2018.1 (Build 12)"
|
|
noEmbeddedEditors 1
|
|
model (BlockDiag
|
|
VExpander (VariableExpander
|
|
vvMap [
|
|
(vvPair
|
|
variable " "
|
|
value " "
|
|
)
|
|
(vvPair
|
|
variable "HDLDir"
|
|
value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl"
|
|
)
|
|
(vvPair
|
|
variable "HDSDir"
|
|
value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds"
|
|
)
|
|
(vvPair
|
|
variable "SideDataDesignDir"
|
|
value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/struct.bd.info"
|
|
)
|
|
(vvPair
|
|
variable "SideDataUserDir"
|
|
value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/struct.bd.user"
|
|
)
|
|
(vvPair
|
|
variable "SourceDir"
|
|
value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds"
|
|
)
|
|
(vvPair
|
|
variable "appl"
|
|
value "HDL Designer"
|
|
)
|
|
(vvPair
|
|
variable "arch_name"
|
|
value "struct"
|
|
)
|
|
(vvPair
|
|
variable "concat_file"
|
|
value "concatenated"
|
|
)
|
|
(vvPair
|
|
variable "config"
|
|
value "%(unit)_%(view)_config"
|
|
)
|
|
(vvPair
|
|
variable "d"
|
|
value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb"
|
|
)
|
|
(vvPair
|
|
variable "d_logical"
|
|
value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb"
|
|
)
|
|
(vvPair
|
|
variable "date"
|
|
value "08/28/19"
|
|
)
|
|
(vvPair
|
|
variable "day"
|
|
value "Wed"
|
|
)
|
|
(vvPair
|
|
variable "day_long"
|
|
value "Wednesday"
|
|
)
|
|
(vvPair
|
|
variable "dd"
|
|
value "28"
|
|
)
|
|
(vvPair
|
|
variable "designName"
|
|
value "$DESIGN_NAME"
|
|
)
|
|
(vvPair
|
|
variable "entity_name"
|
|
value "fifo_tb"
|
|
)
|
|
(vvPair
|
|
variable "ext"
|
|
value "<TBD>"
|
|
)
|
|
(vvPair
|
|
variable "f"
|
|
value "struct.bd"
|
|
)
|
|
(vvPair
|
|
variable "f_logical"
|
|
value "struct.bd"
|
|
)
|
|
(vvPair
|
|
variable "f_noext"
|
|
value "struct"
|
|
)
|
|
(vvPair
|
|
variable "graphical_source_author"
|
|
value "francois"
|
|
)
|
|
(vvPair
|
|
variable "graphical_source_date"
|
|
value "08/28/19"
|
|
)
|
|
(vvPair
|
|
variable "graphical_source_group"
|
|
value "francois"
|
|
)
|
|
(vvPair
|
|
variable "graphical_source_host"
|
|
value "Aphelia"
|
|
)
|
|
(vvPair
|
|
variable "graphical_source_time"
|
|
value "13:45:28"
|
|
)
|
|
(vvPair
|
|
variable "group"
|
|
value "francois"
|
|
)
|
|
(vvPair
|
|
variable "host"
|
|
value "Aphelia"
|
|
)
|
|
(vvPair
|
|
variable "language"
|
|
value "VHDL"
|
|
)
|
|
(vvPair
|
|
variable "library"
|
|
value "Memory_test"
|
|
)
|
|
(vvPair
|
|
variable "library_downstream_ModelSim"
|
|
value "D:\\Users\\ELN_labs\\VHDL_comp"
|
|
)
|
|
(vvPair
|
|
variable "library_downstream_ModelSimCompiler"
|
|
value "$SCRATCH_DIR/Libs/Memory_test/work"
|
|
)
|
|
(vvPair
|
|
variable "mm"
|
|
value "08"
|
|
)
|
|
(vvPair
|
|
variable "module_name"
|
|
value "fifo_tb"
|
|
)
|
|
(vvPair
|
|
variable "month"
|
|
value "Aug"
|
|
)
|
|
(vvPair
|
|
variable "month_long"
|
|
value "August"
|
|
)
|
|
(vvPair
|
|
variable "p"
|
|
value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/struct.bd"
|
|
)
|
|
(vvPair
|
|
variable "p_logical"
|
|
value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/struct.bd"
|
|
)
|
|
(vvPair
|
|
variable "package_name"
|
|
value "<Undefined Variable>"
|
|
)
|
|
(vvPair
|
|
variable "project_name"
|
|
value "hds"
|
|
)
|
|
(vvPair
|
|
variable "series"
|
|
value "HDL Designer Series"
|
|
)
|
|
(vvPair
|
|
variable "task_ActelPath"
|
|
value "$ACTEL_HOME"
|
|
)
|
|
(vvPair
|
|
variable "task_ActelProjectPath"
|
|
value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR"
|
|
)
|
|
(vvPair
|
|
variable "task_DesignCompilerPath"
|
|
value "<TBD>"
|
|
)
|
|
(vvPair
|
|
variable "task_HDSPath"
|
|
value "$HDS_HOME"
|
|
)
|
|
(vvPair
|
|
variable "task_ISEBinPath"
|
|
value "$ISE_HOME"
|
|
)
|
|
(vvPair
|
|
variable "task_ISEPath"
|
|
value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR"
|
|
)
|
|
(vvPair
|
|
variable "task_LeonardoPath"
|
|
value "<TBD>"
|
|
)
|
|
(vvPair
|
|
variable "task_ModelSimPath"
|
|
value "$MODELSIM_HOME\\win32"
|
|
)
|
|
(vvPair
|
|
variable "task_NC-SimPath"
|
|
value "<TBD>"
|
|
)
|
|
(vvPair
|
|
variable "task_PrecisionRTLPath"
|
|
value "<TBD>"
|
|
)
|
|
(vvPair
|
|
variable "task_QuestaSimPath"
|
|
value "<TBD>"
|
|
)
|
|
(vvPair
|
|
variable "task_VCSPath"
|
|
value "<TBD>"
|
|
)
|
|
(vvPair
|
|
variable "this_ext"
|
|
value "bd"
|
|
)
|
|
(vvPair
|
|
variable "this_file"
|
|
value "struct"
|
|
)
|
|
(vvPair
|
|
variable "this_file_logical"
|
|
value "struct"
|
|
)
|
|
(vvPair
|
|
variable "time"
|
|
value "13:45:28"
|
|
)
|
|
(vvPair
|
|
variable "unit"
|
|
value "fifo_tb"
|
|
)
|
|
(vvPair
|
|
variable "user"
|
|
value "francois"
|
|
)
|
|
(vvPair
|
|
variable "version"
|
|
value "2018.1 (Build 12)"
|
|
)
|
|
(vvPair
|
|
variable "view"
|
|
value "struct"
|
|
)
|
|
(vvPair
|
|
variable "year"
|
|
value "2019"
|
|
)
|
|
(vvPair
|
|
variable "yy"
|
|
value "19"
|
|
)
|
|
]
|
|
)
|
|
LanguageMgr "Vhdl2008LangMgr"
|
|
uid 198,0
|
|
optionalChildren [
|
|
*1 (Grouping
|
|
uid 1487,0
|
|
optionalChildren [
|
|
*2 (CommentText
|
|
uid 1489,0
|
|
shape (Rectangle
|
|
uid 1490,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "72000,77000,91000,79000"
|
|
)
|
|
oxt "45000,22000,64000,24000"
|
|
text (MLText
|
|
uid 1491,0
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
bg "0,0,32768"
|
|
)
|
|
xt "72200,77500,87800,78500"
|
|
st "
|
|
<enter project name here>
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 1600
|
|
visibleWidth 18600
|
|
)
|
|
position 1
|
|
ignorePrefs 1
|
|
)
|
|
*3 (CommentText
|
|
uid 1492,0
|
|
shape (Rectangle
|
|
uid 1493,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "40000,77000,66000,79000"
|
|
)
|
|
oxt "13000,22000,39000,24000"
|
|
text (MLText
|
|
uid 1494,0
|
|
va (VaSet
|
|
fg "32768,0,0"
|
|
font "courier,12,1"
|
|
)
|
|
xt "47750,77350,58250,78650"
|
|
st "
|
|
<company name>
|
|
"
|
|
ju 0
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 1600
|
|
visibleWidth 25600
|
|
)
|
|
position 1
|
|
ignorePrefs 1
|
|
)
|
|
*4 (CommentText
|
|
uid 1495,0
|
|
shape (Rectangle
|
|
uid 1496,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "45000,83000,66000,85000"
|
|
)
|
|
oxt "18000,28000,39000,30000"
|
|
text (MLText
|
|
uid 1497,0
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
bg "0,0,32768"
|
|
)
|
|
xt "45200,83500,61400,84500"
|
|
st "
|
|
by %user on %dd %month %year
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 1600
|
|
visibleWidth 20600
|
|
)
|
|
position 1
|
|
ignorePrefs 1
|
|
)
|
|
*5 (CommentText
|
|
uid 1498,0
|
|
shape (Rectangle
|
|
uid 1499,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "66000,77000,72000,79000"
|
|
)
|
|
oxt "39000,22000,45000,24000"
|
|
text (MLText
|
|
uid 1500,0
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
bg "0,0,32768"
|
|
)
|
|
xt "66200,77500,71000,78500"
|
|
st "
|
|
Project:
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 1600
|
|
visibleWidth 5600
|
|
)
|
|
position 1
|
|
ignorePrefs 1
|
|
)
|
|
*6 (CommentText
|
|
uid 1501,0
|
|
shape (Rectangle
|
|
uid 1502,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "45000,79000,66000,81000"
|
|
)
|
|
oxt "18000,24000,39000,26000"
|
|
text (MLText
|
|
uid 1503,0
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
bg "0,0,32768"
|
|
)
|
|
xt "45200,79500,61400,80500"
|
|
st "
|
|
<enter diagram title here>
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 1600
|
|
visibleWidth 20600
|
|
)
|
|
position 1
|
|
ignorePrefs 1
|
|
)
|
|
*7 (CommentText
|
|
uid 1504,0
|
|
shape (Rectangle
|
|
uid 1505,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "40000,79000,45000,81000"
|
|
)
|
|
oxt "13000,24000,18000,26000"
|
|
text (MLText
|
|
uid 1506,0
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
bg "0,0,32768"
|
|
)
|
|
xt "40200,79500,43800,80500"
|
|
st "
|
|
Title:
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 1600
|
|
visibleWidth 4600
|
|
)
|
|
position 1
|
|
ignorePrefs 1
|
|
)
|
|
*8 (CommentText
|
|
uid 1507,0
|
|
shape (Rectangle
|
|
uid 1508,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "40000,81000,45000,83000"
|
|
)
|
|
oxt "13000,26000,18000,28000"
|
|
text (MLText
|
|
uid 1509,0
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
bg "0,0,32768"
|
|
)
|
|
xt "40200,81500,43200,82500"
|
|
st "
|
|
Path:
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 1600
|
|
visibleWidth 4600
|
|
)
|
|
position 1
|
|
ignorePrefs 1
|
|
)
|
|
*9 (CommentText
|
|
uid 1510,0
|
|
shape (Rectangle
|
|
uid 1511,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "66000,79000,91000,85000"
|
|
)
|
|
oxt "39000,24000,64000,30000"
|
|
text (MLText
|
|
uid 1512,0
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
bg "0,0,32768"
|
|
)
|
|
xt "66200,79200,79400,80200"
|
|
st "
|
|
<enter comments here>
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 5600
|
|
visibleWidth 24600
|
|
)
|
|
ignorePrefs 1
|
|
)
|
|
*10 (CommentText
|
|
uid 1513,0
|
|
shape (Rectangle
|
|
uid 1514,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "45000,81000,66000,83000"
|
|
)
|
|
oxt "18000,26000,39000,28000"
|
|
text (MLText
|
|
uid 1515,0
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
bg "0,0,32768"
|
|
)
|
|
xt "45200,81500,61400,82500"
|
|
st "
|
|
%library/%unit/%view
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 1600
|
|
visibleWidth 20600
|
|
)
|
|
position 1
|
|
ignorePrefs 1
|
|
)
|
|
*11 (CommentText
|
|
uid 1516,0
|
|
shape (Rectangle
|
|
uid 1517,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65280,65280,46080"
|
|
)
|
|
xt "40000,83000,45000,85000"
|
|
)
|
|
oxt "13000,28000,18000,30000"
|
|
text (MLText
|
|
uid 1518,0
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
bg "0,0,32768"
|
|
)
|
|
xt "40200,83500,44400,84500"
|
|
st "
|
|
Edited:
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 1600
|
|
visibleWidth 4600
|
|
)
|
|
position 1
|
|
ignorePrefs 1
|
|
)
|
|
]
|
|
shape (GroupingShape
|
|
uid 1488,0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65535,65535,65535"
|
|
lineStyle 2
|
|
lineWidth 1
|
|
)
|
|
xt "40000,77000,91000,85000"
|
|
)
|
|
oxt "13000,22000,64000,30000"
|
|
)
|
|
*12 (Blk
|
|
uid 1774,0
|
|
shape (Rectangle
|
|
uid 1775,0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "40000,56832,65535"
|
|
)
|
|
xt "15000,58000,67000,66000"
|
|
)
|
|
oxt "0,0,8000,10000"
|
|
ttg (MlTextGroup
|
|
uid 1776,0
|
|
ps "CenterOffsetStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
textVec [
|
|
*13 (Text
|
|
uid 1777,0
|
|
va (VaSet
|
|
)
|
|
xt "15600,65900,23600,67100"
|
|
st "Memory_test"
|
|
blo "15600,66900"
|
|
tm "BdLibraryNameMgr"
|
|
)
|
|
*14 (Text
|
|
uid 1778,0
|
|
va (VaSet
|
|
)
|
|
xt "15600,67100,22100,68300"
|
|
st "fifo_tester"
|
|
blo "15600,68100"
|
|
tm "BlkNameMgr"
|
|
)
|
|
*15 (Text
|
|
uid 1779,0
|
|
va (VaSet
|
|
)
|
|
xt "15600,68300,20400,69500"
|
|
st "I_tester"
|
|
blo "15600,69300"
|
|
tm "InstanceNameMgr"
|
|
)
|
|
]
|
|
)
|
|
ga (GenericAssociation
|
|
uid 1780,0
|
|
ps "EdgeToEdgeStrategy"
|
|
matrix (Matrix
|
|
uid 1781,0
|
|
text (MLText
|
|
uid 1782,0
|
|
va (VaSet
|
|
)
|
|
xt "15000,70600,39000,72600"
|
|
st "dataBitNb = dataBitNb ( positive )
|
|
fifoDepth = fifoDepth ( positive ) "
|
|
)
|
|
header ""
|
|
)
|
|
elements [
|
|
(GiElement
|
|
name "dataBitNb"
|
|
type "positive"
|
|
value "dataBitNb"
|
|
)
|
|
(GiElement
|
|
name "fifoDepth"
|
|
type "positive"
|
|
value "fifoDepth"
|
|
)
|
|
]
|
|
)
|
|
viewicon (ZoomableIcon
|
|
uid 5954,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "49152,49152,49152"
|
|
)
|
|
xt "15250,64250,16750,65750"
|
|
iconName "VhdlFileViewIcon.png"
|
|
iconMaskName "VhdlFileViewIcon.msk"
|
|
ftype 10
|
|
)
|
|
viewiconposition 0
|
|
)
|
|
*16 (Net
|
|
uid 5809,0
|
|
decl (Decl
|
|
n "reset"
|
|
t "std_ulogic"
|
|
o 7
|
|
suid 73,0
|
|
)
|
|
declText (MLText
|
|
uid 5810,0
|
|
va (VaSet
|
|
isHidden 1
|
|
)
|
|
xt "0,0,16800,1000"
|
|
st "SIGNAL reset : std_ulogic"
|
|
)
|
|
)
|
|
*17 (Net
|
|
uid 5817,0
|
|
decl (Decl
|
|
n "clock"
|
|
t "std_ulogic"
|
|
o 1
|
|
suid 74,0
|
|
)
|
|
declText (MLText
|
|
uid 5818,0
|
|
va (VaSet
|
|
isHidden 1
|
|
)
|
|
xt "0,0,16800,1000"
|
|
st "SIGNAL clock : std_ulogic"
|
|
)
|
|
)
|
|
*18 (Net
|
|
uid 5825,0
|
|
decl (Decl
|
|
n "write"
|
|
t "std_ulogic"
|
|
o 8
|
|
suid 75,0
|
|
)
|
|
declText (MLText
|
|
uid 5826,0
|
|
va (VaSet
|
|
isHidden 1
|
|
)
|
|
xt "0,0,16800,1000"
|
|
st "SIGNAL write : std_ulogic"
|
|
)
|
|
)
|
|
*19 (Net
|
|
uid 5833,0
|
|
decl (Decl
|
|
n "full"
|
|
t "std_ulogic"
|
|
o 5
|
|
suid 76,0
|
|
)
|
|
declText (MLText
|
|
uid 5834,0
|
|
va (VaSet
|
|
isHidden 1
|
|
)
|
|
xt "0,0,16800,1000"
|
|
st "SIGNAL full : std_ulogic"
|
|
)
|
|
)
|
|
*20 (Net
|
|
uid 5841,0
|
|
decl (Decl
|
|
n "dataIn"
|
|
t "std_ulogic_vector"
|
|
b "(dataBitNb-1 DOWNTO 0)"
|
|
o 2
|
|
suid 77,0
|
|
)
|
|
declText (MLText
|
|
uid 5842,0
|
|
va (VaSet
|
|
isHidden 1
|
|
)
|
|
xt "0,0,34200,1000"
|
|
st "SIGNAL dataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)"
|
|
)
|
|
)
|
|
*21 (Net
|
|
uid 5849,0
|
|
decl (Decl
|
|
n "read"
|
|
t "std_ulogic"
|
|
o 6
|
|
suid 78,0
|
|
)
|
|
declText (MLText
|
|
uid 5850,0
|
|
va (VaSet
|
|
isHidden 1
|
|
)
|
|
xt "0,0,16800,1000"
|
|
st "SIGNAL read : std_ulogic"
|
|
)
|
|
)
|
|
*22 (Net
|
|
uid 5857,0
|
|
decl (Decl
|
|
n "empty"
|
|
t "std_ulogic"
|
|
o 4
|
|
suid 79,0
|
|
)
|
|
declText (MLText
|
|
uid 5858,0
|
|
va (VaSet
|
|
isHidden 1
|
|
)
|
|
xt "0,0,16800,1000"
|
|
st "SIGNAL empty : std_ulogic"
|
|
)
|
|
)
|
|
*23 (Net
|
|
uid 5865,0
|
|
decl (Decl
|
|
n "dataOut"
|
|
t "std_ulogic_vector"
|
|
b "(dataBitNb-1 DOWNTO 0)"
|
|
o 3
|
|
suid 80,0
|
|
)
|
|
declText (MLText
|
|
uid 5866,0
|
|
va (VaSet
|
|
isHidden 1
|
|
)
|
|
xt "0,0,34200,1000"
|
|
st "SIGNAL dataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)"
|
|
)
|
|
)
|
|
*24 (SaComponent
|
|
uid 5921,0
|
|
optionalChildren [
|
|
*25 (CptPort
|
|
uid 5889,0
|
|
ps "OnEdgeStrategy"
|
|
shape (Triangle
|
|
uid 5890,0
|
|
ro 90
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
)
|
|
xt "34250,41625,35000,42375"
|
|
)
|
|
tg (CPTG
|
|
uid 5891,0
|
|
ps "CptPortTextPlaceStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
f (Text
|
|
uid 5892,0
|
|
va (VaSet
|
|
)
|
|
xt "36000,41400,39100,42600"
|
|
st "write"
|
|
blo "36000,42400"
|
|
)
|
|
)
|
|
thePort (LogicalPort
|
|
decl (Decl
|
|
n "write"
|
|
t "std_ulogic"
|
|
o 1
|
|
suid 1,0
|
|
)
|
|
)
|
|
)
|
|
*26 (CptPort
|
|
uid 5893,0
|
|
ps "OnEdgeStrategy"
|
|
shape (Triangle
|
|
uid 5894,0
|
|
ro 90
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
)
|
|
xt "34250,45625,35000,46375"
|
|
)
|
|
tg (CPTG
|
|
uid 5895,0
|
|
ps "CptPortTextPlaceStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
f (Text
|
|
uid 5896,0
|
|
va (VaSet
|
|
)
|
|
xt "36000,45400,39400,46600"
|
|
st "clock"
|
|
blo "36000,46400"
|
|
)
|
|
)
|
|
thePort (LogicalPort
|
|
decl (Decl
|
|
n "clock"
|
|
t "std_ulogic"
|
|
o 2
|
|
suid 2,0
|
|
)
|
|
)
|
|
)
|
|
*27 (CptPort
|
|
uid 5897,0
|
|
ps "OnEdgeStrategy"
|
|
shape (Triangle
|
|
uid 5898,0
|
|
ro 90
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
)
|
|
xt "34250,47625,35000,48375"
|
|
)
|
|
tg (CPTG
|
|
uid 5899,0
|
|
ps "CptPortTextPlaceStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
f (Text
|
|
uid 5900,0
|
|
va (VaSet
|
|
)
|
|
xt "36000,47400,39300,48600"
|
|
st "reset"
|
|
blo "36000,48400"
|
|
)
|
|
)
|
|
thePort (LogicalPort
|
|
decl (Decl
|
|
n "reset"
|
|
t "std_ulogic"
|
|
o 3
|
|
suid 3,0
|
|
)
|
|
)
|
|
)
|
|
*28 (CptPort
|
|
uid 5901,0
|
|
ps "OnEdgeStrategy"
|
|
shape (Triangle
|
|
uid 5902,0
|
|
ro 90
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
)
|
|
xt "51000,37625,51750,38375"
|
|
)
|
|
tg (CPTG
|
|
uid 5903,0
|
|
ps "CptPortTextPlaceStrategy"
|
|
stg "RightVerticalLayoutStrategy"
|
|
f (Text
|
|
uid 5904,0
|
|
va (VaSet
|
|
)
|
|
xt "45201,37400,50001,38600"
|
|
st "dataOut"
|
|
ju 2
|
|
blo "50001,38400"
|
|
)
|
|
)
|
|
thePort (LogicalPort
|
|
m 1
|
|
decl (Decl
|
|
n "dataOut"
|
|
t "std_ulogic_vector"
|
|
b "(dataBitNb-1 DOWNTO 0)"
|
|
o 4
|
|
suid 4,0
|
|
)
|
|
)
|
|
)
|
|
*29 (CptPort
|
|
uid 5905,0
|
|
ps "OnEdgeStrategy"
|
|
shape (Triangle
|
|
uid 5906,0
|
|
ro 270
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
)
|
|
xt "51000,41625,51750,42375"
|
|
)
|
|
tg (CPTG
|
|
uid 5907,0
|
|
ps "CptPortTextPlaceStrategy"
|
|
stg "RightVerticalLayoutStrategy"
|
|
f (Text
|
|
uid 5908,0
|
|
va (VaSet
|
|
)
|
|
xt "47100,41400,50000,42600"
|
|
st "read"
|
|
ju 2
|
|
blo "50000,42400"
|
|
)
|
|
)
|
|
thePort (LogicalPort
|
|
decl (Decl
|
|
n "read"
|
|
t "std_ulogic"
|
|
o 5
|
|
suid 5,0
|
|
)
|
|
)
|
|
)
|
|
*30 (CptPort
|
|
uid 5909,0
|
|
ps "OnEdgeStrategy"
|
|
shape (Triangle
|
|
uid 5910,0
|
|
ro 90
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
)
|
|
xt "34250,37625,35000,38375"
|
|
)
|
|
tg (CPTG
|
|
uid 5911,0
|
|
ps "CptPortTextPlaceStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
f (Text
|
|
uid 5912,0
|
|
va (VaSet
|
|
)
|
|
xt "35999,37400,39999,38600"
|
|
st "dataIn"
|
|
blo "35999,38400"
|
|
)
|
|
)
|
|
thePort (LogicalPort
|
|
decl (Decl
|
|
n "dataIn"
|
|
t "std_ulogic_vector"
|
|
b "(dataBitNb-1 DOWNTO 0)"
|
|
o 6
|
|
suid 6,0
|
|
)
|
|
)
|
|
)
|
|
*31 (CptPort
|
|
uid 5913,0
|
|
ps "OnEdgeStrategy"
|
|
shape (Triangle
|
|
uid 5914,0
|
|
ro 90
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
)
|
|
xt "51000,39625,51750,40375"
|
|
)
|
|
tg (CPTG
|
|
uid 5915,0
|
|
ps "CptPortTextPlaceStrategy"
|
|
stg "RightVerticalLayoutStrategy"
|
|
f (Text
|
|
uid 5916,0
|
|
va (VaSet
|
|
)
|
|
xt "46200,39400,50000,40600"
|
|
st "empty"
|
|
ju 2
|
|
blo "50000,40400"
|
|
)
|
|
)
|
|
thePort (LogicalPort
|
|
m 1
|
|
decl (Decl
|
|
n "empty"
|
|
t "std_ulogic"
|
|
o 7
|
|
suid 7,0
|
|
)
|
|
)
|
|
)
|
|
*32 (CptPort
|
|
uid 5917,0
|
|
ps "OnEdgeStrategy"
|
|
shape (Triangle
|
|
uid 5918,0
|
|
ro 270
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
)
|
|
xt "34250,39625,35000,40375"
|
|
)
|
|
tg (CPTG
|
|
uid 5919,0
|
|
ps "CptPortTextPlaceStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
f (Text
|
|
uid 5920,0
|
|
va (VaSet
|
|
)
|
|
xt "36000,39400,38200,40600"
|
|
st "full"
|
|
blo "36000,40400"
|
|
)
|
|
)
|
|
thePort (LogicalPort
|
|
m 1
|
|
decl (Decl
|
|
n "full"
|
|
t "std_ulogic"
|
|
o 8
|
|
suid 8,0
|
|
)
|
|
)
|
|
)
|
|
]
|
|
shape (Rectangle
|
|
uid 5922,0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
lineColor "0,32896,0"
|
|
lineWidth 2
|
|
)
|
|
xt "35000,34000,51000,50000"
|
|
)
|
|
oxt "34000,12000,50000,28000"
|
|
ttg (MlTextGroup
|
|
uid 5923,0
|
|
ps "CenterOffsetStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
textVec [
|
|
*33 (Text
|
|
uid 5924,0
|
|
va (VaSet
|
|
)
|
|
xt "35600,49800,40300,51000"
|
|
st "Memory"
|
|
blo "35600,50800"
|
|
tm "BdLibraryNameMgr"
|
|
)
|
|
*34 (Text
|
|
uid 5925,0
|
|
va (VaSet
|
|
)
|
|
xt "35600,51000,38900,52200"
|
|
st "FIFO"
|
|
blo "35600,52000"
|
|
tm "CptNameMgr"
|
|
)
|
|
*35 (Text
|
|
uid 5926,0
|
|
va (VaSet
|
|
)
|
|
xt "35600,52200,39700,53400"
|
|
st "I_DUT"
|
|
blo "35600,53200"
|
|
tm "InstanceNameMgr"
|
|
)
|
|
]
|
|
)
|
|
ga (GenericAssociation
|
|
uid 5927,0
|
|
ps "EdgeToEdgeStrategy"
|
|
matrix (Matrix
|
|
uid 5928,0
|
|
text (MLText
|
|
uid 5929,0
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "35000,54000,55000,55800"
|
|
st "dataBitNb = dataBitNb ( positive )
|
|
depth = fifoDepth ( positive ) "
|
|
)
|
|
header ""
|
|
)
|
|
elements [
|
|
(GiElement
|
|
name "dataBitNb"
|
|
type "positive"
|
|
value "dataBitNb"
|
|
)
|
|
(GiElement
|
|
name "depth"
|
|
type "positive"
|
|
value "fifoDepth"
|
|
)
|
|
]
|
|
)
|
|
viewicon (ZoomableIcon
|
|
uid 5930,0
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "49152,49152,49152"
|
|
)
|
|
xt "35250,48250,36750,49750"
|
|
iconName "VhdlFileViewIcon.png"
|
|
iconMaskName "VhdlFileViewIcon.msk"
|
|
ftype 10
|
|
)
|
|
ordering 1
|
|
viewiconposition 0
|
|
portVis (PortSigDisplay
|
|
sTC 0
|
|
sIVOD 1
|
|
)
|
|
archFileType "UNKNOWN"
|
|
)
|
|
*36 (Wire
|
|
uid 5811,0
|
|
shape (OrthoPolyLine
|
|
uid 5812,0
|
|
va (VaSet
|
|
vasetType 3
|
|
)
|
|
xt "33000,48000,34250,58000"
|
|
pts [
|
|
"34250,48000"
|
|
"33000,48000"
|
|
"33000,58000"
|
|
]
|
|
)
|
|
start &27
|
|
end &12
|
|
sat 32
|
|
eat 2
|
|
stc 0
|
|
st 0
|
|
sf 1
|
|
si 0
|
|
tg (WTG
|
|
uid 5815,0
|
|
ps "ConnStartEndStrategy"
|
|
stg "STSignalDisplayStrategy"
|
|
f (Text
|
|
uid 5816,0
|
|
va (VaSet
|
|
font "courier,12,0"
|
|
)
|
|
xt "29250,46600,32750,47900"
|
|
st "reset"
|
|
blo "29250,47600"
|
|
tm "WireNameMgr"
|
|
)
|
|
)
|
|
on &16
|
|
)
|
|
*37 (Wire
|
|
uid 5819,0
|
|
shape (OrthoPolyLine
|
|
uid 5820,0
|
|
va (VaSet
|
|
vasetType 3
|
|
)
|
|
xt "31000,46000,34250,58000"
|
|
pts [
|
|
"34250,46000"
|
|
"31000,46000"
|
|
"31000,58000"
|
|
]
|
|
)
|
|
start &26
|
|
end &12
|
|
sat 32
|
|
eat 2
|
|
stc 0
|
|
st 0
|
|
sf 1
|
|
si 0
|
|
tg (WTG
|
|
uid 5823,0
|
|
ps "ConnStartEndStrategy"
|
|
stg "STSignalDisplayStrategy"
|
|
f (Text
|
|
uid 5824,0
|
|
va (VaSet
|
|
font "courier,12,0"
|
|
)
|
|
xt "29250,44600,32750,45900"
|
|
st "clock"
|
|
blo "29250,45600"
|
|
tm "WireNameMgr"
|
|
)
|
|
)
|
|
on &17
|
|
)
|
|
*38 (Wire
|
|
uid 5827,0
|
|
shape (OrthoPolyLine
|
|
uid 5828,0
|
|
va (VaSet
|
|
vasetType 3
|
|
)
|
|
xt "27000,42000,34250,58000"
|
|
pts [
|
|
"34250,42000"
|
|
"27000,42000"
|
|
"27000,58000"
|
|
]
|
|
)
|
|
start &25
|
|
end &12
|
|
sat 32
|
|
eat 2
|
|
stc 0
|
|
st 0
|
|
sf 1
|
|
si 0
|
|
tg (WTG
|
|
uid 5831,0
|
|
ps "ConnStartEndStrategy"
|
|
stg "STSignalDisplayStrategy"
|
|
f (Text
|
|
uid 5832,0
|
|
va (VaSet
|
|
font "courier,12,0"
|
|
)
|
|
xt "29250,40600,32750,41900"
|
|
st "write"
|
|
blo "29250,41600"
|
|
tm "WireNameMgr"
|
|
)
|
|
)
|
|
on &18
|
|
)
|
|
*39 (Wire
|
|
uid 5835,0
|
|
shape (OrthoPolyLine
|
|
uid 5836,0
|
|
va (VaSet
|
|
vasetType 3
|
|
)
|
|
xt "25000,40000,34250,58000"
|
|
pts [
|
|
"34250,40000"
|
|
"25000,40000"
|
|
"25000,58000"
|
|
]
|
|
)
|
|
start &32
|
|
end &12
|
|
sat 32
|
|
eat 1
|
|
stc 0
|
|
st 0
|
|
sf 1
|
|
si 0
|
|
tg (WTG
|
|
uid 5839,0
|
|
ps "ConnStartEndStrategy"
|
|
stg "STSignalDisplayStrategy"
|
|
f (Text
|
|
uid 5840,0
|
|
va (VaSet
|
|
font "courier,12,0"
|
|
)
|
|
xt "30250,38600,33050,39900"
|
|
st "full"
|
|
blo "30250,39600"
|
|
tm "WireNameMgr"
|
|
)
|
|
)
|
|
on &19
|
|
)
|
|
*40 (Wire
|
|
uid 5843,0
|
|
shape (OrthoPolyLine
|
|
uid 5844,0
|
|
va (VaSet
|
|
vasetType 3
|
|
lineWidth 2
|
|
)
|
|
xt "23000,38000,34250,58000"
|
|
pts [
|
|
"34250,38000"
|
|
"23000,38000"
|
|
"23000,58000"
|
|
]
|
|
)
|
|
start &30
|
|
end &12
|
|
sat 32
|
|
eat 2
|
|
sty 1
|
|
stc 0
|
|
st 0
|
|
sf 1
|
|
si 0
|
|
tg (WTG
|
|
uid 5847,0
|
|
ps "ConnStartEndStrategy"
|
|
stg "STSignalDisplayStrategy"
|
|
f (Text
|
|
uid 5848,0
|
|
va (VaSet
|
|
font "courier,12,0"
|
|
)
|
|
xt "28250,36600,32450,37900"
|
|
st "dataIn"
|
|
blo "28250,37600"
|
|
tm "WireNameMgr"
|
|
)
|
|
)
|
|
on &20
|
|
)
|
|
*41 (Wire
|
|
uid 5851,0
|
|
shape (OrthoPolyLine
|
|
uid 5852,0
|
|
va (VaSet
|
|
vasetType 3
|
|
)
|
|
xt "51750,42000,55000,58000"
|
|
pts [
|
|
"51750,42000"
|
|
"55000,42000"
|
|
"55000,58000"
|
|
]
|
|
)
|
|
start &29
|
|
end &12
|
|
sat 32
|
|
eat 2
|
|
stc 0
|
|
st 0
|
|
sf 1
|
|
si 0
|
|
tg (WTG
|
|
uid 5855,0
|
|
ps "ConnStartEndStrategy"
|
|
stg "STSignalDisplayStrategy"
|
|
f (Text
|
|
uid 5856,0
|
|
va (VaSet
|
|
font "courier,12,0"
|
|
)
|
|
xt "53750,40600,57450,42000"
|
|
st "read"
|
|
blo "53750,41800"
|
|
tm "WireNameMgr"
|
|
)
|
|
)
|
|
on &21
|
|
)
|
|
*42 (Wire
|
|
uid 5859,0
|
|
shape (OrthoPolyLine
|
|
uid 5860,0
|
|
va (VaSet
|
|
vasetType 3
|
|
)
|
|
xt "51750,40000,57000,58000"
|
|
pts [
|
|
"51750,40000"
|
|
"57000,40000"
|
|
"57000,58000"
|
|
]
|
|
)
|
|
start &31
|
|
end &12
|
|
sat 32
|
|
eat 1
|
|
stc 0
|
|
st 0
|
|
sf 1
|
|
si 0
|
|
tg (WTG
|
|
uid 5863,0
|
|
ps "ConnStartEndStrategy"
|
|
stg "STSignalDisplayStrategy"
|
|
f (Text
|
|
uid 5864,0
|
|
va (VaSet
|
|
font "courier,12,0"
|
|
)
|
|
xt "53750,38600,57250,39900"
|
|
st "empty"
|
|
blo "53750,39600"
|
|
tm "WireNameMgr"
|
|
)
|
|
)
|
|
on &22
|
|
)
|
|
*43 (Wire
|
|
uid 5867,0
|
|
shape (OrthoPolyLine
|
|
uid 5868,0
|
|
va (VaSet
|
|
vasetType 3
|
|
lineWidth 2
|
|
)
|
|
xt "51750,38000,59000,58000"
|
|
pts [
|
|
"51750,38000"
|
|
"59000,38000"
|
|
"59000,58000"
|
|
]
|
|
)
|
|
start &28
|
|
end &12
|
|
sat 32
|
|
eat 1
|
|
sty 1
|
|
stc 0
|
|
st 0
|
|
sf 1
|
|
si 0
|
|
tg (WTG
|
|
uid 5871,0
|
|
ps "ConnStartEndStrategy"
|
|
stg "STSignalDisplayStrategy"
|
|
f (Text
|
|
uid 5872,0
|
|
va (VaSet
|
|
font "courier,12,0"
|
|
)
|
|
xt "53750,36600,58650,37900"
|
|
st "dataOut"
|
|
blo "53750,37600"
|
|
tm "WireNameMgr"
|
|
)
|
|
)
|
|
on &23
|
|
)
|
|
]
|
|
bg "65535,65535,65535"
|
|
grid (Grid
|
|
origin "0,0"
|
|
isVisible 1
|
|
isActive 1
|
|
xSpacing 1000
|
|
xySpacing 1000
|
|
xShown 1
|
|
yShown 1
|
|
color "32768,32768,32768"
|
|
)
|
|
packageList *44 (PackageList
|
|
uid 187,0
|
|
stg "VerticalLayoutStrategy"
|
|
textVec [
|
|
*45 (Text
|
|
uid 1297,0
|
|
va (VaSet
|
|
font "courier,8,1"
|
|
)
|
|
xt "-7000,19600,-1600,20600"
|
|
st "Package List"
|
|
blo "-7000,20400"
|
|
)
|
|
*46 (MLText
|
|
uid 1298,0
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "-7000,20600,8500,23300"
|
|
st "LIBRARY ieee;
|
|
USE ieee.std_logic_1164.all;
|
|
USE ieee.numeric_std.all;"
|
|
tm "PackageList"
|
|
)
|
|
]
|
|
)
|
|
compDirBlock (MlTextGroup
|
|
uid 190,0
|
|
stg "VerticalLayoutStrategy"
|
|
textVec [
|
|
*47 (Text
|
|
uid 191,0
|
|
va (VaSet
|
|
isHidden 1
|
|
font "courier,10,1"
|
|
)
|
|
xt "20000,0,31000,1200"
|
|
st "Compiler Directives"
|
|
blo "20000,1000"
|
|
)
|
|
*48 (Text
|
|
uid 192,0
|
|
va (VaSet
|
|
isHidden 1
|
|
font "courier,10,1"
|
|
)
|
|
xt "20000,1400,33000,2600"
|
|
st "Pre-module directives:"
|
|
blo "20000,2400"
|
|
)
|
|
*49 (MLText
|
|
uid 193,0
|
|
va (VaSet
|
|
isHidden 1
|
|
)
|
|
xt "20000,2800,32000,4800"
|
|
st "`resetall
|
|
`timescale 1ns/10ps"
|
|
tm "BdCompilerDirectivesTextMgr"
|
|
)
|
|
*50 (Text
|
|
uid 194,0
|
|
va (VaSet
|
|
isHidden 1
|
|
font "courier,10,1"
|
|
)
|
|
xt "20000,5600,33500,6800"
|
|
st "Post-module directives:"
|
|
blo "20000,6600"
|
|
)
|
|
*51 (MLText
|
|
uid 195,0
|
|
va (VaSet
|
|
isHidden 1
|
|
)
|
|
xt "20000,7000,20000,7000"
|
|
tm "BdCompilerDirectivesTextMgr"
|
|
)
|
|
*52 (Text
|
|
uid 196,0
|
|
va (VaSet
|
|
isHidden 1
|
|
font "courier,10,1"
|
|
)
|
|
xt "20000,7200,33200,8400"
|
|
st "End-module directives:"
|
|
blo "20000,8200"
|
|
)
|
|
*53 (MLText
|
|
uid 197,0
|
|
va (VaSet
|
|
isHidden 1
|
|
)
|
|
xt "20000,1200,20000,1200"
|
|
tm "BdCompilerDirectivesTextMgr"
|
|
)
|
|
]
|
|
associable 1
|
|
)
|
|
windowSize "118,40,1394,908"
|
|
viewArea "10133,25086,76534,68615"
|
|
cachedDiagramExtent "-7000,0,91000,85000"
|
|
pageSetupInfo (PageSetupInfo
|
|
ptrCmd "Generic PostScript Printer,winspool,"
|
|
fileName "\\\\EIV\\a309_hplj4050.electro.eiv"
|
|
toPrinter 1
|
|
xMargin 48
|
|
yMargin 48
|
|
windowsPaperWidth 761
|
|
windowsPaperHeight 1077
|
|
paperType "Letter (8.5\" x 11\")"
|
|
windowsPaperName "A4"
|
|
scale 75
|
|
titlesVisible 0
|
|
exportedDirectories [
|
|
"$HDS_PROJECT_DIR/HTMLExport"
|
|
]
|
|
boundaryWidth 0
|
|
)
|
|
hasePageBreakOrigin 1
|
|
pageBreakOrigin "-7000,19000"
|
|
lastUid 6048,0
|
|
defaultCommentText (CommentText
|
|
shape (Rectangle
|
|
layer 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65535,65535,65535"
|
|
lineStyle 2
|
|
)
|
|
xt "0,0,15000,5000"
|
|
)
|
|
text (MLText
|
|
va (VaSet
|
|
fg "65535,0,0"
|
|
font "courier,8,0"
|
|
)
|
|
xt "200,200,2200,1100"
|
|
st "
|
|
Text
|
|
"
|
|
tm "CommentText"
|
|
wrapOption 3
|
|
visibleHeight 4600
|
|
visibleWidth 14600
|
|
)
|
|
)
|
|
defaultRequirementText (RequirementText
|
|
shape (ZoomableIcon
|
|
layer 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "59904,39936,65280"
|
|
lineColor "0,0,32768"
|
|
)
|
|
xt "0,0,1500,1750"
|
|
iconName "reqTracerRequirement.bmp"
|
|
iconMaskName "reqTracerRequirement.msk"
|
|
)
|
|
autoResize 1
|
|
text (MLText
|
|
va (VaSet
|
|
fg "0,0,32768"
|
|
font "courier,8,0"
|
|
)
|
|
xt "450,2150,1450,3050"
|
|
st "
|
|
Text
|
|
"
|
|
tm "RequirementText"
|
|
wrapOption 3
|
|
visibleHeight 1350
|
|
visibleWidth 1100
|
|
)
|
|
)
|
|
defaultPanel (Panel
|
|
shape (RectFrame
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65535,65535,65535"
|
|
lineColor "32768,0,0"
|
|
lineWidth 3
|
|
)
|
|
xt "0,0,20000,20000"
|
|
)
|
|
title (TextAssociate
|
|
ps "TopLeftStrategy"
|
|
text (Text
|
|
va (VaSet
|
|
font "courier,10,1"
|
|
)
|
|
xt "-150,900,4450,2100"
|
|
st "Panel0"
|
|
blo "-150,1900"
|
|
tm "PanelText"
|
|
)
|
|
)
|
|
)
|
|
defaultBlk (Blk
|
|
shape (Rectangle
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "40000,56832,65535"
|
|
)
|
|
xt "0,0,8000,10000"
|
|
)
|
|
ttg (MlTextGroup
|
|
ps "CenterOffsetStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
textVec [
|
|
*54 (Text
|
|
va (VaSet
|
|
)
|
|
xt "1500,2550,6800,3750"
|
|
st "<library>"
|
|
blo "1500,3550"
|
|
tm "BdLibraryNameMgr"
|
|
)
|
|
*55 (Text
|
|
va (VaSet
|
|
)
|
|
xt "1500,3750,6300,4950"
|
|
st "<block>"
|
|
blo "1500,4750"
|
|
tm "BlkNameMgr"
|
|
)
|
|
*56 (Text
|
|
va (VaSet
|
|
)
|
|
xt "1500,4950,3400,6150"
|
|
st "I0"
|
|
blo "1500,5950"
|
|
tm "InstanceNameMgr"
|
|
)
|
|
]
|
|
)
|
|
ga (GenericAssociation
|
|
ps "EdgeToEdgeStrategy"
|
|
matrix (Matrix
|
|
text (MLText
|
|
va (VaSet
|
|
isHidden 1
|
|
)
|
|
xt "1500,12550,1500,12550"
|
|
)
|
|
header ""
|
|
)
|
|
elements [
|
|
]
|
|
)
|
|
viewicon (ZoomableIcon
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "49152,49152,49152"
|
|
)
|
|
xt "250,8250,1750,9750"
|
|
iconName "UnknownFile.png"
|
|
iconMaskName "UnknownFile.msk"
|
|
)
|
|
viewiconposition 0
|
|
)
|
|
defaultMWComponent (MWC
|
|
shape (Rectangle
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
lineColor "0,32896,0"
|
|
lineWidth 2
|
|
)
|
|
xt "-600,0,8600,10000"
|
|
)
|
|
ttg (MlTextGroup
|
|
ps "CenterOffsetStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
textVec [
|
|
*57 (Text
|
|
va (VaSet
|
|
)
|
|
xt "-100,3000,2200,4000"
|
|
st "Library"
|
|
blo "-100,3800"
|
|
)
|
|
*58 (Text
|
|
va (VaSet
|
|
)
|
|
xt "-100,4000,5900,5000"
|
|
st "MWComponent"
|
|
blo "-100,4800"
|
|
)
|
|
*59 (Text
|
|
va (VaSet
|
|
)
|
|
xt "-100,5000,1800,6200"
|
|
st "I0"
|
|
blo "-100,6000"
|
|
tm "InstanceNameMgr"
|
|
)
|
|
]
|
|
)
|
|
ga (GenericAssociation
|
|
ps "EdgeToEdgeStrategy"
|
|
matrix (Matrix
|
|
text (MLText
|
|
va (VaSet
|
|
isHidden 1
|
|
font "courier,9,0"
|
|
)
|
|
xt "-7100,1000,-7100,1000"
|
|
)
|
|
header ""
|
|
)
|
|
elements [
|
|
]
|
|
)
|
|
prms (Property
|
|
pclass "params"
|
|
pname "params"
|
|
ptn "String"
|
|
)
|
|
visOptions (mwParamsVisibilityOptions
|
|
)
|
|
)
|
|
defaultSaComponent (SaComponent
|
|
shape (Rectangle
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
)
|
|
xt "-850,0,8850,10000"
|
|
)
|
|
ttg (MlTextGroup
|
|
ps "CenterOffsetStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
textVec [
|
|
*60 (Text
|
|
va (VaSet
|
|
)
|
|
xt "-350,2550,1950,3550"
|
|
st "Library"
|
|
blo "-350,3350"
|
|
tm "BdLibraryNameMgr"
|
|
)
|
|
*61 (Text
|
|
va (VaSet
|
|
)
|
|
xt "-350,3550,5150,4550"
|
|
st "SaComponent"
|
|
blo "-350,4350"
|
|
tm "CptNameMgr"
|
|
)
|
|
*62 (Text
|
|
va (VaSet
|
|
)
|
|
xt "-350,4550,1550,5750"
|
|
st "I0"
|
|
blo "-350,5550"
|
|
tm "InstanceNameMgr"
|
|
)
|
|
]
|
|
)
|
|
ga (GenericAssociation
|
|
ps "EdgeToEdgeStrategy"
|
|
matrix (Matrix
|
|
text (MLText
|
|
va (VaSet
|
|
isHidden 1
|
|
)
|
|
xt "-7350,550,-7350,550"
|
|
)
|
|
header ""
|
|
)
|
|
elements [
|
|
]
|
|
)
|
|
viewicon (ZoomableIcon
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "49152,49152,49152"
|
|
)
|
|
xt "-600,8250,900,9750"
|
|
iconName "UnknownFile.png"
|
|
iconMaskName "UnknownFile.msk"
|
|
)
|
|
viewiconposition 0
|
|
archFileType "UNKNOWN"
|
|
)
|
|
defaultVhdlComponent (VhdlComponent
|
|
shape (Rectangle
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
)
|
|
xt "-1350,0,9350,10000"
|
|
)
|
|
ttg (MlTextGroup
|
|
ps "CenterOffsetStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
textVec [
|
|
*63 (Text
|
|
va (VaSet
|
|
)
|
|
xt "-850,2550,1450,3550"
|
|
st "Library"
|
|
blo "-850,3350"
|
|
)
|
|
*64 (Text
|
|
va (VaSet
|
|
)
|
|
xt "-850,3550,5250,4550"
|
|
st "VhdlComponent"
|
|
blo "-850,4350"
|
|
)
|
|
*65 (Text
|
|
va (VaSet
|
|
)
|
|
xt "-850,4550,1050,5750"
|
|
st "I0"
|
|
blo "-850,5550"
|
|
tm "InstanceNameMgr"
|
|
)
|
|
]
|
|
)
|
|
ga (GenericAssociation
|
|
ps "EdgeToEdgeStrategy"
|
|
matrix (Matrix
|
|
text (MLText
|
|
va (VaSet
|
|
isHidden 1
|
|
)
|
|
xt "-7850,550,-7850,550"
|
|
)
|
|
header ""
|
|
)
|
|
elements [
|
|
]
|
|
)
|
|
entityPath ""
|
|
archName ""
|
|
archPath ""
|
|
)
|
|
defaultVerilogComponent (VerilogComponent
|
|
shape (Rectangle
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
)
|
|
xt "-2100,0,10100,10000"
|
|
)
|
|
ttg (MlTextGroup
|
|
ps "CenterOffsetStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
textVec [
|
|
*66 (Text
|
|
va (VaSet
|
|
)
|
|
xt "-1600,2550,700,3550"
|
|
st "Library"
|
|
blo "-1600,3350"
|
|
)
|
|
*67 (Text
|
|
va (VaSet
|
|
)
|
|
xt "-1600,3550,5500,4550"
|
|
st "VerilogComponent"
|
|
blo "-1600,4350"
|
|
)
|
|
*68 (Text
|
|
va (VaSet
|
|
)
|
|
xt "-1600,4550,300,5750"
|
|
st "I0"
|
|
blo "-1600,5550"
|
|
tm "InstanceNameMgr"
|
|
)
|
|
]
|
|
)
|
|
ga (GenericAssociation
|
|
ps "EdgeToEdgeStrategy"
|
|
matrix (Matrix
|
|
text (MLText
|
|
va (VaSet
|
|
isHidden 1
|
|
)
|
|
xt "-8600,550,-8600,550"
|
|
)
|
|
header ""
|
|
)
|
|
elements [
|
|
]
|
|
)
|
|
entityPath ""
|
|
)
|
|
defaultHdlText (HdlText
|
|
shape (Rectangle
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65535,65535,32768"
|
|
)
|
|
xt "0,0,8000,10000"
|
|
)
|
|
ttg (MlTextGroup
|
|
ps "CenterOffsetStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
textVec [
|
|
*69 (Text
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "2950,3400,4950,4400"
|
|
st "eb1"
|
|
blo "2950,4200"
|
|
tm "HdlTextNameMgr"
|
|
)
|
|
*70 (Text
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "2950,4400,3950,5400"
|
|
st "1"
|
|
blo "2950,5200"
|
|
tm "HdlTextNumberMgr"
|
|
)
|
|
]
|
|
)
|
|
viewicon (ZoomableIcon
|
|
sl 0
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "49152,49152,49152"
|
|
)
|
|
xt "250,8250,1750,9750"
|
|
iconName "UnknownFile.png"
|
|
iconMaskName "UnknownFile.msk"
|
|
)
|
|
viewiconposition 0
|
|
)
|
|
defaultEmbeddedText (EmbeddedText
|
|
commentText (CommentText
|
|
ps "CenterOffsetStrategy"
|
|
shape (Rectangle
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65535,65535,65535"
|
|
lineStyle 2
|
|
)
|
|
xt "0,0,18000,5000"
|
|
)
|
|
text (MLText
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "200,200,2200,1100"
|
|
st "
|
|
Text
|
|
"
|
|
tm "HdlTextMgr"
|
|
wrapOption 3
|
|
visibleHeight 4600
|
|
visibleWidth 17600
|
|
)
|
|
)
|
|
)
|
|
defaultGlobalConnector (GlobalConnector
|
|
shape (Circle
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65535,65535,0"
|
|
)
|
|
xt "-1000,-1000,1000,1000"
|
|
radius 1000
|
|
)
|
|
name (Text
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "-550,-500,550,500"
|
|
st "G"
|
|
blo "-550,300"
|
|
)
|
|
)
|
|
defaultRipper (Ripper
|
|
ps "OnConnectorStrategy"
|
|
shape (Line2D
|
|
pts [
|
|
"0,0"
|
|
"1000,1000"
|
|
]
|
|
va (VaSet
|
|
vasetType 1
|
|
)
|
|
xt "0,0,1000,1000"
|
|
)
|
|
)
|
|
defaultBdJunction (BdJunction
|
|
ps "OnConnectorStrategy"
|
|
shape (Circle
|
|
va (VaSet
|
|
vasetType 1
|
|
)
|
|
xt "-400,-400,400,400"
|
|
radius 400
|
|
)
|
|
)
|
|
defaultPortIoIn (PortIoIn
|
|
shape (CompositeShape
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,0,32768"
|
|
)
|
|
optionalChildren [
|
|
(Pentagon
|
|
sl 0
|
|
ro 270
|
|
xt "-2000,-375,-500,375"
|
|
)
|
|
(Line
|
|
sl 0
|
|
ro 270
|
|
xt "-500,0,0,0"
|
|
pts [
|
|
"-500,0"
|
|
"0,0"
|
|
]
|
|
)
|
|
]
|
|
)
|
|
stc 0
|
|
tg (WTG
|
|
ps "PortIoTextPlaceStrategy"
|
|
stg "STSignalDisplayStrategy"
|
|
f (Text
|
|
va (VaSet
|
|
isHidden 1
|
|
font "courier,12,0"
|
|
)
|
|
xt "-2875,-375,-2875,-375"
|
|
ju 2
|
|
blo "-2875,-375"
|
|
tm "WireNameMgr"
|
|
)
|
|
s (Text
|
|
va (VaSet
|
|
font "courier,12,0"
|
|
)
|
|
xt "-2875,-375,-2875,-375"
|
|
ju 2
|
|
blo "-2875,-375"
|
|
tm "SignalTypeMgr"
|
|
)
|
|
)
|
|
)
|
|
defaultPortIoOut (PortIoOut
|
|
shape (CompositeShape
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,0,32768"
|
|
)
|
|
optionalChildren [
|
|
(Pentagon
|
|
sl 0
|
|
ro 270
|
|
xt "500,-375,2000,375"
|
|
)
|
|
(Line
|
|
sl 0
|
|
ro 270
|
|
xt "0,0,500,0"
|
|
pts [
|
|
"0,0"
|
|
"500,0"
|
|
]
|
|
)
|
|
]
|
|
)
|
|
stc 0
|
|
tg (WTG
|
|
ps "PortIoTextPlaceStrategy"
|
|
stg "STSignalDisplayStrategy"
|
|
f (Text
|
|
va (VaSet
|
|
isHidden 1
|
|
font "courier,12,0"
|
|
)
|
|
xt "2875,-375,2875,-375"
|
|
blo "2875,-375"
|
|
tm "WireNameMgr"
|
|
)
|
|
s (Text
|
|
va (VaSet
|
|
font "courier,12,0"
|
|
)
|
|
xt "2875,-375,2875,-375"
|
|
blo "2875,-375"
|
|
tm "SignalTypeMgr"
|
|
)
|
|
)
|
|
)
|
|
defaultPortIoInOut (PortIoInOut
|
|
shape (CompositeShape
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,0,32768"
|
|
)
|
|
optionalChildren [
|
|
(Hexagon
|
|
sl 0
|
|
xt "500,-375,2000,375"
|
|
)
|
|
(Line
|
|
sl 0
|
|
xt "0,0,500,0"
|
|
pts [
|
|
"0,0"
|
|
"500,0"
|
|
]
|
|
)
|
|
]
|
|
)
|
|
stc 0
|
|
tg (WTG
|
|
ps "PortIoTextPlaceStrategy"
|
|
stg "STSignalDisplayStrategy"
|
|
f (Text
|
|
va (VaSet
|
|
isHidden 1
|
|
font "courier,12,0"
|
|
)
|
|
xt "3000,500,3000,500"
|
|
blo "3000,500"
|
|
tm "WireNameMgr"
|
|
)
|
|
s (Text
|
|
va (VaSet
|
|
font "courier,12,0"
|
|
)
|
|
xt "3000,500,3000,500"
|
|
blo "3000,500"
|
|
tm "SignalTypeMgr"
|
|
)
|
|
)
|
|
)
|
|
defaultPortIoBuffer (PortIoBuffer
|
|
shape (CompositeShape
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65535,65535,65535"
|
|
lineColor "0,0,32768"
|
|
)
|
|
optionalChildren [
|
|
(Hexagon
|
|
sl 0
|
|
xt "500,-375,2000,375"
|
|
)
|
|
(Line
|
|
sl 0
|
|
xt "0,0,500,0"
|
|
pts [
|
|
"0,0"
|
|
"500,0"
|
|
]
|
|
)
|
|
]
|
|
)
|
|
stc 0
|
|
tg (WTG
|
|
ps "PortIoTextPlaceStrategy"
|
|
stg "STSignalDisplayStrategy"
|
|
f (Text
|
|
va (VaSet
|
|
isHidden 1
|
|
font "courier,12,0"
|
|
)
|
|
xt "3000,500,3000,500"
|
|
blo "3000,500"
|
|
tm "WireNameMgr"
|
|
)
|
|
s (Text
|
|
va (VaSet
|
|
font "courier,12,0"
|
|
)
|
|
xt "3000,500,3000,500"
|
|
blo "3000,500"
|
|
tm "SignalTypeMgr"
|
|
)
|
|
)
|
|
)
|
|
defaultSignal (Wire
|
|
shape (OrthoPolyLine
|
|
va (VaSet
|
|
vasetType 3
|
|
)
|
|
pts [
|
|
"0,0"
|
|
"0,0"
|
|
]
|
|
)
|
|
ss 0
|
|
es 0
|
|
sat 32
|
|
eat 32
|
|
stc 0
|
|
st 0
|
|
sf 1
|
|
si 0
|
|
tg (WTG
|
|
ps "ConnStartEndStrategy"
|
|
stg "STSignalDisplayStrategy"
|
|
f (Text
|
|
va (VaSet
|
|
font "courier,12,0"
|
|
)
|
|
xt "0,0,3400,1400"
|
|
st "sig0"
|
|
blo "0,1200"
|
|
tm "WireNameMgr"
|
|
)
|
|
)
|
|
)
|
|
defaultBus (Wire
|
|
shape (OrthoPolyLine
|
|
va (VaSet
|
|
vasetType 3
|
|
lineWidth 2
|
|
)
|
|
pts [
|
|
"0,0"
|
|
"0,0"
|
|
]
|
|
)
|
|
ss 0
|
|
es 0
|
|
sat 32
|
|
eat 32
|
|
sty 1
|
|
stc 0
|
|
st 0
|
|
sf 1
|
|
si 0
|
|
tg (WTG
|
|
ps "ConnStartEndStrategy"
|
|
stg "STSignalDisplayStrategy"
|
|
f (Text
|
|
va (VaSet
|
|
font "courier,12,0"
|
|
)
|
|
xt "0,0,4700,1400"
|
|
st "dbus0"
|
|
blo "0,1200"
|
|
tm "WireNameMgr"
|
|
)
|
|
)
|
|
)
|
|
defaultBundle (Bundle
|
|
shape (OrthoPolyLine
|
|
va (VaSet
|
|
vasetType 3
|
|
lineStyle 3
|
|
lineWidth 1
|
|
)
|
|
pts [
|
|
"0,0"
|
|
"0,0"
|
|
]
|
|
)
|
|
ss 0
|
|
es 0
|
|
sat 32
|
|
eat 32
|
|
textGroup (BiTextGroup
|
|
ps "ConnStartEndStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
first (Text
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "0,400,3700,1400"
|
|
st "bundle0"
|
|
blo "0,1200"
|
|
tm "BundleNameMgr"
|
|
)
|
|
second (MLText
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "0,1400,1000,2300"
|
|
st "()"
|
|
tm "BundleContentsMgr"
|
|
)
|
|
)
|
|
bundleNet &0
|
|
)
|
|
defaultPortMapFrame (PortMapFrame
|
|
ps "PortMapFrameStrategy"
|
|
shape (RectFrame
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65535,65535,65535"
|
|
lineColor "0,0,50000"
|
|
lineWidth 2
|
|
)
|
|
xt "0,0,10000,12000"
|
|
)
|
|
portMapText (BiTextGroup
|
|
ps "BottomRightOffsetStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
first (MLText
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "0,0,4500,900"
|
|
st "Auto list"
|
|
)
|
|
second (MLText
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "0,1000,9000,1900"
|
|
st "User defined list"
|
|
tm "PortMapTextMgr"
|
|
)
|
|
)
|
|
)
|
|
defaultGenFrame (Frame
|
|
shape (RectFrame
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65535,65535,65535"
|
|
lineColor "28160,28160,28160"
|
|
lineStyle 2
|
|
lineWidth 3
|
|
)
|
|
xt "0,0,20000,20000"
|
|
)
|
|
title (TextAssociate
|
|
ps "TopLeftStrategy"
|
|
text (MLText
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "2150,-1300,16650,-400"
|
|
st "g0: FOR i IN 0 TO n GENERATE"
|
|
tm "FrameTitleTextMgr"
|
|
)
|
|
)
|
|
seqNum (FrameSequenceNumber
|
|
ps "TopLeftStrategy"
|
|
shape (Rectangle
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65535,65535,65535"
|
|
)
|
|
xt "50,50,1050,1750"
|
|
)
|
|
num (Text
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "50,400,1050,1400"
|
|
st "1"
|
|
blo "50,1200"
|
|
tm "FrameSeqNumMgr"
|
|
)
|
|
)
|
|
decls (MlTextGroup
|
|
ps "BottomRightOffsetStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
textVec [
|
|
*71 (Text
|
|
va (VaSet
|
|
font "courier,8,1"
|
|
)
|
|
xt "11800,20000,21800,21000"
|
|
st "Frame Declarations"
|
|
blo "11800,20800"
|
|
)
|
|
*72 (MLText
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "11800,21000,11800,21000"
|
|
tm "BdFrameDeclTextMgr"
|
|
)
|
|
]
|
|
)
|
|
)
|
|
defaultBlockFrame (Frame
|
|
shape (RectFrame
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65535,65535,65535"
|
|
lineColor "28160,28160,28160"
|
|
lineStyle 1
|
|
lineWidth 3
|
|
)
|
|
xt "0,0,20000,20000"
|
|
)
|
|
title (TextAssociate
|
|
ps "TopLeftStrategy"
|
|
text (MLText
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "1100,-1300,10100,-400"
|
|
st "b0: BLOCK (guard)"
|
|
tm "FrameTitleTextMgr"
|
|
)
|
|
)
|
|
seqNum (FrameSequenceNumber
|
|
ps "TopLeftStrategy"
|
|
shape (Rectangle
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65535,65535,65535"
|
|
)
|
|
xt "50,50,1050,1750"
|
|
)
|
|
num (Text
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "50,400,1050,1400"
|
|
st "1"
|
|
blo "50,1200"
|
|
tm "FrameSeqNumMgr"
|
|
)
|
|
)
|
|
decls (MlTextGroup
|
|
ps "BottomRightOffsetStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
textVec [
|
|
*73 (Text
|
|
va (VaSet
|
|
font "courier,8,1"
|
|
)
|
|
xt "11800,20000,21800,21000"
|
|
st "Frame Declarations"
|
|
blo "11800,20800"
|
|
)
|
|
*74 (MLText
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "11800,21000,11800,21000"
|
|
tm "BdFrameDeclTextMgr"
|
|
)
|
|
]
|
|
)
|
|
style 3
|
|
)
|
|
defaultSaCptPort (CptPort
|
|
ps "OnEdgeStrategy"
|
|
shape (Triangle
|
|
ro 90
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "0,65535,0"
|
|
)
|
|
xt "0,0,750,750"
|
|
)
|
|
tg (CPTG
|
|
ps "CptPortTextPlaceStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
f (Text
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "0,750,2100,1750"
|
|
st "Port"
|
|
blo "0,1550"
|
|
)
|
|
)
|
|
thePort (LogicalPort
|
|
decl (Decl
|
|
n "Port"
|
|
t ""
|
|
o 0
|
|
)
|
|
)
|
|
)
|
|
defaultSaCptPortBuffer (CptPort
|
|
ps "OnEdgeStrategy"
|
|
shape (Diamond
|
|
va (VaSet
|
|
vasetType 1
|
|
fg "65535,65535,65535"
|
|
)
|
|
xt "0,0,750,750"
|
|
)
|
|
tg (CPTG
|
|
ps "CptPortTextPlaceStrategy"
|
|
stg "VerticalLayoutStrategy"
|
|
f (Text
|
|
va (VaSet
|
|
font "courier,8,0"
|
|
)
|
|
xt "0,750,2100,1750"
|
|
st "Port"
|
|
blo "0,1550"
|
|
)
|
|
)
|
|
thePort (LogicalPort
|
|
m 3
|
|
decl (Decl
|
|
n "Port"
|
|
t ""
|
|
o 0
|
|
)
|
|
)
|
|
)
|
|
defaultDeclText (MLText
|
|
va (VaSet
|
|
isHidden 1
|
|
)
|
|
)
|
|
archDeclarativeBlock (BdArchDeclBlock
|
|
uid 1,0
|
|
stg "BdArchDeclBlockLS"
|
|
declLabel (Text
|
|
uid 2,0
|
|
va (VaSet
|
|
font "courier,8,1"
|
|
)
|
|
xt "-7000,25800,0,26800"
|
|
st "Declarations"
|
|
blo "-7000,26600"
|
|
)
|
|
portLabel (Text
|
|
uid 3,0
|
|
va (VaSet
|
|
isHidden 1
|
|
font "courier,8,1"
|
|
)
|
|
xt "-7000,27000,-3600,28000"
|
|
st "Ports:"
|
|
blo "-7000,27800"
|
|
)
|
|
preUserLabel (Text
|
|
uid 4,0
|
|
va (VaSet
|
|
font "courier,8,1"
|
|
)
|
|
xt "-7000,26800,-2200,27800"
|
|
st "Pre User:"
|
|
blo "-7000,27600"
|
|
)
|
|
preUserText (MLText
|
|
uid 5,0
|
|
va (VaSet
|
|
)
|
|
xt "-5000,27800,16600,29800"
|
|
st "constant dataBitNb : positive := 8;
|
|
constant fifoDepth: positive := 8;"
|
|
tm "BdDeclarativeTextMgr"
|
|
)
|
|
diagSignalLabel (Text
|
|
uid 6,0
|
|
va (VaSet
|
|
isHidden 1
|
|
font "courier,8,1"
|
|
)
|
|
xt "-7000,27000,2000,28000"
|
|
st "Diagram Signals:"
|
|
blo "-7000,27800"
|
|
)
|
|
postUserLabel (Text
|
|
uid 7,0
|
|
va (VaSet
|
|
isHidden 1
|
|
font "courier,8,1"
|
|
)
|
|
xt "-7000,27000,-1000,28000"
|
|
st "Post User:"
|
|
blo "-7000,27800"
|
|
)
|
|
postUserText (MLText
|
|
uid 8,0
|
|
va (VaSet
|
|
isHidden 1
|
|
)
|
|
xt "-5000,41400,-5000,41400"
|
|
tm "BdDeclarativeTextMgr"
|
|
)
|
|
)
|
|
commonDM (CommonDM
|
|
ldm (LogicalDM
|
|
suid 80,0
|
|
usingSuid 1
|
|
emptyRow *75 (LEmptyRow
|
|
)
|
|
uid 3310,0
|
|
optionalChildren [
|
|
*76 (RefLabelRowHdr
|
|
)
|
|
*77 (TitleRowHdr
|
|
)
|
|
*78 (FilterRowHdr
|
|
)
|
|
*79 (RefLabelColHdr
|
|
tm "RefLabelColHdrMgr"
|
|
)
|
|
*80 (RowExpandColHdr
|
|
tm "RowExpandColHdrMgr"
|
|
)
|
|
*81 (GroupColHdr
|
|
tm "GroupColHdrMgr"
|
|
)
|
|
*82 (NameColHdr
|
|
tm "BlockDiagramNameColHdrMgr"
|
|
)
|
|
*83 (ModeColHdr
|
|
tm "BlockDiagramModeColHdrMgr"
|
|
)
|
|
*84 (TypeColHdr
|
|
tm "BlockDiagramTypeColHdrMgr"
|
|
)
|
|
*85 (BoundsColHdr
|
|
tm "BlockDiagramBoundsColHdrMgr"
|
|
)
|
|
*86 (InitColHdr
|
|
tm "BlockDiagramInitColHdrMgr"
|
|
)
|
|
*87 (EolColHdr
|
|
tm "BlockDiagramEolColHdrMgr"
|
|
)
|
|
*88 (LeafLogPort
|
|
port (LogicalPort
|
|
m 4
|
|
decl (Decl
|
|
n "reset"
|
|
t "std_ulogic"
|
|
o 7
|
|
suid 73,0
|
|
)
|
|
)
|
|
uid 5873,0
|
|
)
|
|
*89 (LeafLogPort
|
|
port (LogicalPort
|
|
m 4
|
|
decl (Decl
|
|
n "clock"
|
|
t "std_ulogic"
|
|
o 1
|
|
suid 74,0
|
|
)
|
|
)
|
|
uid 5875,0
|
|
)
|
|
*90 (LeafLogPort
|
|
port (LogicalPort
|
|
m 4
|
|
decl (Decl
|
|
n "write"
|
|
t "std_ulogic"
|
|
o 8
|
|
suid 75,0
|
|
)
|
|
)
|
|
uid 5877,0
|
|
)
|
|
*91 (LeafLogPort
|
|
port (LogicalPort
|
|
m 4
|
|
decl (Decl
|
|
n "full"
|
|
t "std_ulogic"
|
|
o 5
|
|
suid 76,0
|
|
)
|
|
)
|
|
uid 5879,0
|
|
)
|
|
*92 (LeafLogPort
|
|
port (LogicalPort
|
|
m 4
|
|
decl (Decl
|
|
n "dataIn"
|
|
t "std_ulogic_vector"
|
|
b "(dataBitNb-1 DOWNTO 0)"
|
|
o 2
|
|
suid 77,0
|
|
)
|
|
)
|
|
uid 5881,0
|
|
)
|
|
*93 (LeafLogPort
|
|
port (LogicalPort
|
|
m 4
|
|
decl (Decl
|
|
n "read"
|
|
t "std_ulogic"
|
|
o 6
|
|
suid 78,0
|
|
)
|
|
)
|
|
uid 5883,0
|
|
)
|
|
*94 (LeafLogPort
|
|
port (LogicalPort
|
|
m 4
|
|
decl (Decl
|
|
n "empty"
|
|
t "std_ulogic"
|
|
o 4
|
|
suid 79,0
|
|
)
|
|
)
|
|
uid 5885,0
|
|
)
|
|
*95 (LeafLogPort
|
|
port (LogicalPort
|
|
m 4
|
|
decl (Decl
|
|
n "dataOut"
|
|
t "std_ulogic_vector"
|
|
b "(dataBitNb-1 DOWNTO 0)"
|
|
o 3
|
|
suid 80,0
|
|
)
|
|
)
|
|
uid 5887,0
|
|
)
|
|
]
|
|
)
|
|
pdm (PhysicalDM
|
|
displayShortBounds 1
|
|
editShortBounds 1
|
|
uid 3323,0
|
|
optionalChildren [
|
|
*96 (Sheet
|
|
sheetRow (SheetRow
|
|
headerVa (MVa
|
|
cellColor "49152,49152,49152"
|
|
fontColor "0,0,0"
|
|
font "courier,10,0"
|
|
)
|
|
cellVa (MVa
|
|
cellColor "65535,65535,65535"
|
|
fontColor "0,0,0"
|
|
font "courier,10,0"
|
|
)
|
|
groupVa (MVa
|
|
cellColor "39936,56832,65280"
|
|
fontColor "0,0,0"
|
|
font "courier,10,0"
|
|
)
|
|
emptyMRCItem *97 (MRCItem
|
|
litem &75
|
|
pos 8
|
|
dimension 20
|
|
)
|
|
uid 3325,0
|
|
optionalChildren [
|
|
*98 (MRCItem
|
|
litem &76
|
|
pos 0
|
|
dimension 20
|
|
uid 3326,0
|
|
)
|
|
*99 (MRCItem
|
|
litem &77
|
|
pos 1
|
|
dimension 23
|
|
uid 3327,0
|
|
)
|
|
*100 (MRCItem
|
|
litem &78
|
|
pos 2
|
|
hidden 1
|
|
dimension 20
|
|
uid 3328,0
|
|
)
|
|
*101 (MRCItem
|
|
litem &88
|
|
pos 0
|
|
dimension 20
|
|
uid 5874,0
|
|
)
|
|
*102 (MRCItem
|
|
litem &89
|
|
pos 1
|
|
dimension 20
|
|
uid 5876,0
|
|
)
|
|
*103 (MRCItem
|
|
litem &90
|
|
pos 2
|
|
dimension 20
|
|
uid 5878,0
|
|
)
|
|
*104 (MRCItem
|
|
litem &91
|
|
pos 3
|
|
dimension 20
|
|
uid 5880,0
|
|
)
|
|
*105 (MRCItem
|
|
litem &92
|
|
pos 4
|
|
dimension 20
|
|
uid 5882,0
|
|
)
|
|
*106 (MRCItem
|
|
litem &93
|
|
pos 5
|
|
dimension 20
|
|
uid 5884,0
|
|
)
|
|
*107 (MRCItem
|
|
litem &94
|
|
pos 6
|
|
dimension 20
|
|
uid 5886,0
|
|
)
|
|
*108 (MRCItem
|
|
litem &95
|
|
pos 7
|
|
dimension 20
|
|
uid 5888,0
|
|
)
|
|
]
|
|
)
|
|
sheetCol (SheetCol
|
|
propVa (MVa
|
|
cellColor "0,49152,49152"
|
|
fontColor "0,0,0"
|
|
font "courier,10,0"
|
|
textAngle 90
|
|
)
|
|
uid 3329,0
|
|
optionalChildren [
|
|
*109 (MRCItem
|
|
litem &79
|
|
pos 0
|
|
dimension 20
|
|
uid 3330,0
|
|
)
|
|
*110 (MRCItem
|
|
litem &81
|
|
pos 1
|
|
dimension 50
|
|
uid 3331,0
|
|
)
|
|
*111 (MRCItem
|
|
litem &82
|
|
pos 2
|
|
dimension 100
|
|
uid 3332,0
|
|
)
|
|
*112 (MRCItem
|
|
litem &83
|
|
pos 3
|
|
dimension 50
|
|
uid 3333,0
|
|
)
|
|
*113 (MRCItem
|
|
litem &84
|
|
pos 4
|
|
dimension 100
|
|
uid 3334,0
|
|
)
|
|
*114 (MRCItem
|
|
litem &85
|
|
pos 5
|
|
dimension 100
|
|
uid 3335,0
|
|
)
|
|
*115 (MRCItem
|
|
litem &86
|
|
pos 6
|
|
dimension 50
|
|
uid 3336,0
|
|
)
|
|
*116 (MRCItem
|
|
litem &87
|
|
pos 7
|
|
dimension 80
|
|
uid 3337,0
|
|
)
|
|
]
|
|
)
|
|
fixedCol 4
|
|
fixedRow 2
|
|
name "Ports"
|
|
uid 3324,0
|
|
vaOverrides [
|
|
]
|
|
)
|
|
]
|
|
)
|
|
uid 3309,0
|
|
)
|
|
genericsCommonDM (CommonDM
|
|
ldm (LogicalDM
|
|
emptyRow *117 (LEmptyRow
|
|
)
|
|
uid 3339,0
|
|
optionalChildren [
|
|
*118 (RefLabelRowHdr
|
|
)
|
|
*119 (TitleRowHdr
|
|
)
|
|
*120 (FilterRowHdr
|
|
)
|
|
*121 (RefLabelColHdr
|
|
tm "RefLabelColHdrMgr"
|
|
)
|
|
*122 (RowExpandColHdr
|
|
tm "RowExpandColHdrMgr"
|
|
)
|
|
*123 (GroupColHdr
|
|
tm "GroupColHdrMgr"
|
|
)
|
|
*124 (NameColHdr
|
|
tm "GenericNameColHdrMgr"
|
|
)
|
|
*125 (TypeColHdr
|
|
tm "GenericTypeColHdrMgr"
|
|
)
|
|
*126 (InitColHdr
|
|
tm "GenericValueColHdrMgr"
|
|
)
|
|
*127 (PragmaColHdr
|
|
tm "GenericPragmaColHdrMgr"
|
|
)
|
|
*128 (EolColHdr
|
|
tm "GenericEolColHdrMgr"
|
|
)
|
|
]
|
|
)
|
|
pdm (PhysicalDM
|
|
uid 3351,0
|
|
optionalChildren [
|
|
*129 (Sheet
|
|
sheetRow (SheetRow
|
|
headerVa (MVa
|
|
cellColor "49152,49152,49152"
|
|
fontColor "0,0,0"
|
|
font "courier,10,0"
|
|
)
|
|
cellVa (MVa
|
|
cellColor "65535,65535,65535"
|
|
fontColor "0,0,0"
|
|
font "courier,10,0"
|
|
)
|
|
groupVa (MVa
|
|
cellColor "39936,56832,65280"
|
|
fontColor "0,0,0"
|
|
font "courier,10,0"
|
|
)
|
|
emptyMRCItem *130 (MRCItem
|
|
litem &117
|
|
pos 0
|
|
dimension 20
|
|
)
|
|
uid 3353,0
|
|
optionalChildren [
|
|
*131 (MRCItem
|
|
litem &118
|
|
pos 0
|
|
dimension 20
|
|
uid 3354,0
|
|
)
|
|
*132 (MRCItem
|
|
litem &119
|
|
pos 1
|
|
dimension 23
|
|
uid 3355,0
|
|
)
|
|
*133 (MRCItem
|
|
litem &120
|
|
pos 2
|
|
hidden 1
|
|
dimension 20
|
|
uid 3356,0
|
|
)
|
|
]
|
|
)
|
|
sheetCol (SheetCol
|
|
propVa (MVa
|
|
cellColor "0,49152,49152"
|
|
fontColor "0,0,0"
|
|
font "courier,10,0"
|
|
textAngle 90
|
|
)
|
|
uid 3357,0
|
|
optionalChildren [
|
|
*134 (MRCItem
|
|
litem &121
|
|
pos 0
|
|
dimension 20
|
|
uid 3358,0
|
|
)
|
|
*135 (MRCItem
|
|
litem &123
|
|
pos 1
|
|
dimension 50
|
|
uid 3359,0
|
|
)
|
|
*136 (MRCItem
|
|
litem &124
|
|
pos 2
|
|
dimension 100
|
|
uid 3360,0
|
|
)
|
|
*137 (MRCItem
|
|
litem &125
|
|
pos 3
|
|
dimension 100
|
|
uid 3361,0
|
|
)
|
|
*138 (MRCItem
|
|
litem &126
|
|
pos 4
|
|
dimension 50
|
|
uid 3362,0
|
|
)
|
|
*139 (MRCItem
|
|
litem &127
|
|
pos 5
|
|
dimension 50
|
|
uid 3363,0
|
|
)
|
|
*140 (MRCItem
|
|
litem &128
|
|
pos 6
|
|
dimension 80
|
|
uid 3364,0
|
|
)
|
|
]
|
|
)
|
|
fixedCol 3
|
|
fixedRow 2
|
|
name "Ports"
|
|
uid 3352,0
|
|
vaOverrides [
|
|
]
|
|
)
|
|
]
|
|
)
|
|
uid 3338,0
|
|
type 1
|
|
)
|
|
activeModelName "BlockDiag"
|
|
)
|