diff --git a/VHD/hdl/ex_24_1_3_entity.vhd b/VHD/hdl/ex_24_1_3_entity.vhd new file mode 100644 index 0000000..2ce5a50 --- /dev/null +++ b/VHD/hdl/ex_24_1_3_entity.vhd @@ -0,0 +1,28 @@ +-- VHDL Entity VHD.ex_24_1_3.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 09:40:30 03/27/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY ex_24_1_3 IS + GENERIC( + timerBitNb : positive := 8; + testModeBitNb : positive := 1 + ); + PORT( + testMode : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + pwmEn : OUT std_ulogic + ); + +-- Declarations + +END ex_24_1_3 ; + diff --git a/VHD/hdl/ex_24_1_3_studentVersion.vhd b/VHD/hdl/ex_24_1_3_studentVersion.vhd index e543b91..ff5f70c 100644 --- a/VHD/hdl/ex_24_1_3_studentVersion.vhd +++ b/VHD/hdl/ex_24_1_3_studentVersion.vhd @@ -1,4 +1,28 @@ architecture studentVersion of ex_24_1_3 is + + signal counter : unsigned(timerBitNb-1 downto 0); + begin - pwmEn <= '0'; + + process(reset, clock) begin + if reset = '1' then + counter <= (others => '0'); + elsif rising_edge(clock) then + if testMode = '0' then + counter <= counter - 1; + else + counter <= counter - 2**(timerBitNb - testModeBitNb); + end if; + end if; + end process; + + process(counter) + begin + if counter = 0 then + pwmEn <= '1'; + else + pwmEn <= '0'; + end if; + end process; + end studentVersion; diff --git a/VHD_test/hdl/tb_24_1_3_entity.vhd b/VHD_test/hdl/tb_24_1_3_entity.vhd new file mode 100644 index 0000000..f5a1e0b --- /dev/null +++ b/VHD_test/hdl/tb_24_1_3_entity.vhd @@ -0,0 +1,15 @@ +-- VHDL Entity VHD_test.tb_24_1_3.symbol +-- +-- Created: +-- by - remy.borgeat.UNKNOWN (WE10993) +-- at - 15:01:24 20.03.2024 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- + + +ENTITY tb_24_1_3 IS +-- Declarations + +END tb_24_1_3 ; + diff --git a/VHD_test/hdl/tb_24_1_3_struct.vhd b/VHD_test/hdl/tb_24_1_3_struct.vhd new file mode 100644 index 0000000..2a3960b --- /dev/null +++ b/VHD_test/hdl/tb_24_1_3_struct.vhd @@ -0,0 +1,77 @@ +-- +-- VHDL Architecture VHD_test.tb_24_1_3.struct +-- +-- Created: +-- by - remi.heredero.UNKNOWN (WE2330808) +-- at - 13:45:47 22.03.2024 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; + +LIBRARY VHD; + +ARCHITECTURE struct OF tb_24_1_3 IS + + -- Architecture declarations + constant positionBitNb : positive := 8; + + constant clockFrequency : real := 100.0E6; + constant clockPeriod : time := (1.0/clockFrequency) * 1 sec; + signal sClock : std_uLogic := '1'; + + signal position_int : integer := 0; + + -- Internal signal declarations + SIGNAL clock : std_ulogic; + SIGNAL pwmEn : std_ulogic; + SIGNAL reset : std_ulogic; + SIGNAL testMode : std_ulogic; + + + -- Component Declarations + COMPONENT ex_24_1_3 + GENERIC ( + timerBitNb : positive := 8; + testModeBitNb : positive := 1 + ); + PORT ( + testMode : IN std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + pwmEn : OUT std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : ex_24_1_3 USE ENTITY VHD.ex_24_1_3; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 eb1 + reset <= '1', '0' after 2*clockPeriod; + sClock <= not sClock after clockPeriod/2; + clock <= transport sClock after clockPeriod*9/10; + + testMode <= '1', '0' after 100*clockPeriod; + + + -- Instance port mappings. + I_dut : ex_24_1_3 + GENERIC MAP ( + timerBitNb => 14, + testModeBitNb => 1 + ) + PORT MAP ( + testMode => testMode, + clock => clock, + reset => reset, + pwmEn => pwmEn + ); + +END struct; diff --git a/VHD_test/hds/tb_24_1_3/struct.bd b/VHD_test/hds/tb_24_1_3/struct.bd index 689e4c0..c782084 100644 --- a/VHD_test/hds/tb_24_1_3/struct.bd +++ b/VHD_test/hds/tb_24_1_3/struct.bd @@ -22,7 +22,7 @@ elements [ (GiElement name "timerBitNb" type "positive" -value "8" +value "14" ) (GiElement name "testModeBitNb" @@ -56,23 +56,23 @@ value " " ) (vvPair variable "HDLDir" -value "C:\\Users\\remy.borgeat\\Documents\\Exam_24_1\\Exam_24_1\\Exam_24_1\\Prefs\\..\\VHD_test\\hdl" +value "C:\\Users\\remi.heredero\\GIT\\Exam_24_1\\Prefs\\..\\VHD_test\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\remy.borgeat\\Documents\\Exam_24_1\\Exam_24_1\\Exam_24_1\\Prefs\\..\\VHD_test\\hds" +value "C:\\Users\\remi.heredero\\GIT\\Exam_24_1\\Prefs\\..\\VHD_test\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\remy.borgeat\\Documents\\Exam_24_1\\Exam_24_1\\Exam_24_1\\Prefs\\..\\VHD_test\\hds\\tb_24_1_3\\struct.bd.info" +value "C:\\Users\\remi.heredero\\GIT\\Exam_24_1\\Prefs\\..\\VHD_test\\hds\\tb_24_1_3\\struct.bd.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\remy.borgeat\\Documents\\Exam_24_1\\Exam_24_1\\Exam_24_1\\Prefs\\..\\VHD_test\\hds\\tb_24_1_3\\struct.bd.user" +value "C:\\Users\\remi.heredero\\GIT\\Exam_24_1\\Prefs\\..\\VHD_test\\hds\\tb_24_1_3\\struct.bd.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\remy.borgeat\\Documents\\Exam_24_1\\Exam_24_1\\Exam_24_1\\Prefs\\..\\VHD_test\\hds" +value "C:\\Users\\remi.heredero\\GIT\\Exam_24_1\\Prefs\\..\\VHD_test\\hds" ) (vvPair variable "appl" @@ -92,27 +92,27 @@ value "%(unit)_config" ) (vvPair variable "d" -value "C:\\Users\\remy.borgeat\\Documents\\Exam_24_1\\Exam_24_1\\Exam_24_1\\Prefs\\..\\VHD_test\\hds\\tb_24_1_3" +value "C:\\Users\\remi.heredero\\GIT\\Exam_24_1\\Prefs\\..\\VHD_test\\hds\\tb_24_1_3" ) (vvPair variable "d_logical" -value "C:\\Users\\remy.borgeat\\Documents\\Exam_24_1\\Exam_24_1\\Exam_24_1\\Prefs\\..\\VHD_test\\hds\\tb_24_1_3" +value "C:\\Users\\remi.heredero\\GIT\\Exam_24_1\\Prefs\\..\\VHD_test\\hds\\tb_24_1_3" ) (vvPair variable "date" -value "20.03.2024" +value "22.03.2024" ) (vvPair variable "day" -value "mer." +value "ven." ) (vvPair variable "day_long" -value "mercredi" +value "vendredi" ) (vvPair variable "dd" -value "20" +value "22" ) (vvPair variable "designName" @@ -140,11 +140,11 @@ value "struct" ) (vvPair variable "graphical_source_author" -value "remy.borgeat" +value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "20.03.2024" +value "22.03.2024" ) (vvPair variable "graphical_source_group" @@ -152,11 +152,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "WE10993" +value "WE2330808" ) (vvPair variable "graphical_source_time" -value "15:01:25" +value "13:45:47" ) (vvPair variable "group" @@ -164,7 +164,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "WE10993" +value "WE2330808" ) (vvPair variable "language" @@ -204,11 +204,11 @@ value "mars" ) (vvPair variable "p" -value "C:\\Users\\remy.borgeat\\Documents\\Exam_24_1\\Exam_24_1\\Exam_24_1\\Prefs\\..\\VHD_test\\hds\\tb_24_1_3\\struct.bd" +value "C:\\Users\\remi.heredero\\GIT\\Exam_24_1\\Prefs\\..\\VHD_test\\hds\\tb_24_1_3\\struct.bd" ) (vvPair variable "p_logical" -value "C:\\Users\\remy.borgeat\\Documents\\Exam_24_1\\Exam_24_1\\Exam_24_1\\Prefs\\..\\VHD_test\\hds\\tb_24_1_3\\struct.bd" +value "C:\\Users\\remi.heredero\\GIT\\Exam_24_1\\Prefs\\..\\VHD_test\\hds\\tb_24_1_3\\struct.bd" ) (vvPair variable "package_name" @@ -276,7 +276,7 @@ value "struct" ) (vvPair variable "time" -value "15:01:25" +value "13:45:47" ) (vvPair variable "unit" @@ -284,7 +284,7 @@ value "tb_24_1_3" ) (vvPair variable "user" -value "remy.borgeat" +value "remi.heredero" ) (vvPair variable "version" @@ -328,7 +328,7 @@ va (VaSet fg "0,0,32768" bg "0,0,32768" ) -xt "44200,54000,56700,55000" +xt "44200,54000,57000,55000" st " by %user on %dd %month %year " @@ -963,9 +963,10 @@ text (MLText uid 1609,0 va (VaSet ) -xt "46000,38400,58300,40400" -st "timerBitNb = 8 ( positive ) -testModeBitNb = 1 ( positive ) " +xt "46000,38400,58500,40400" +st "timerBitNb = 14 ( positive ) +testModeBitNb = 1 ( positive ) +" ) header "" ) @@ -973,7 +974,7 @@ elements [ (GiElement name "timerBitNb" type "positive" -value "8" +value "14" ) (GiElement name "testModeBitNb" @@ -983,7 +984,6 @@ value "1" ] ) ordering 1 -connectByName 1 portVis (PortSigDisplay sTC 0 ) @@ -1250,8 +1250,8 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "168,53,1377,952" -viewArea "-1200,-1200,83166,58226" +windowSize "168,53,1378,952" +viewArea "-1200,-1200,83252,58226" cachedDiagramExtent "0,0,81000,55000" pageSetupInfo (PageSetupInfo ptrCmd "Generic PostScript Printer,winspool," @@ -1276,7 +1276,7 @@ boundaryWidth 0 ) hasePageBreakOrigin 1 pageBreakOrigin "0,0" -lastUid 1671,0 +lastUid 1702,0 defaultCommentText (CommentText shape (Rectangle layer 0 diff --git a/VHD_test/hds/tb_24_1_3/struct.bd.bak b/VHD_test/hds/tb_24_1_3/struct.bd.bak new file mode 100644 index 0000000..29b34c4 --- /dev/null +++ b/VHD_test/hds/tb_24_1_3/struct.bd.bak @@ -0,0 +1,2644 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_dut" +duLibraryName "VHD" +duName "ex_24_1_3" +elements [ +(GiElement +name "timerBitNb" +type "positive" +value "13" +) +(GiElement +name "testModeBitNb" +type "positive" +value "1" +) +] +mwi 0 +uid 1601,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\Users\\remi.heredero\\GIT\\Exam_24_1\\Prefs\\..\\VHD_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi.heredero\\GIT\\Exam_24_1\\Prefs\\..\\VHD_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi.heredero\\GIT\\Exam_24_1\\Prefs\\..\\VHD_test\\hds\\tb_24_1_3\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi.heredero\\GIT\\Exam_24_1\\Prefs\\..\\VHD_test\\hds\\tb_24_1_3\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi.heredero\\GIT\\Exam_24_1\\Prefs\\..\\VHD_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi.heredero\\GIT\\Exam_24_1\\Prefs\\..\\VHD_test\\hds\\tb_24_1_3" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi.heredero\\GIT\\Exam_24_1\\Prefs\\..\\VHD_test\\hds\\tb_24_1_3" +) +(vvPair +variable "date" +value "22.03.2024" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "22" +) +(vvPair +variable "designName" +value "" +) +(vvPair +variable "entity_name" +value "tb_24_1_3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "remi.heredero" +) +(vvPair +variable "graphical_source_date" +value "22.03.2024" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE2330808" +) +(vvPair +variable "graphical_source_time" +value "13:45:06" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE2330808" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "VHD_test" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../VHD_test/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\FCo_HEVs\\Cours\\SEm\\Examens HDL\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Exam/VHD_test/work" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "tb_24_1_3" +) +(vvPair +variable "month" +value "mars" +) +(vvPair +variable "month_long" +value "mars" +) +(vvPair +variable "p" +value "C:\\Users\\remi.heredero\\GIT\\Exam_24_1\\Prefs\\..\\VHD_test\\hds\\tb_24_1_3\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi.heredero\\GIT\\Exam_24_1\\Prefs\\..\\VHD_test\\hds\\tb_24_1_3\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:06" +) +(vvPair +variable "unit" +value "tb_24_1_3" +) +(vvPair +variable "user" +value "remi.heredero" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2024" +) +(vvPair +variable "yy" +value "24" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 153,0 +optionalChildren [ +*1 (Grouping +uid 110,0 +optionalChildren [ +*2 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,54000,61000,55000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,54000,57000,55000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,50000,65000,51000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,50000,64200,51000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,52000,61000,53000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,52000,54200,53000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 121,0 +shape (Rectangle +uid 122,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,52000,44000,53000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 123,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,52000,42300,53000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 124,0 +shape (Rectangle +uid 125,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,51000,81000,55000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 126,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,51200,70600,52200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 127,0 +shape (Rectangle +uid 128,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,50000,81000,51000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 129,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,50000,75400,51000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 130,0 +shape (Rectangle +uid 131,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,50000,61000,52000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 132,0 +va (VaSet +fg "32768,0,0" +) +xt "47000,50500,54000,51500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,53000,44000,54000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,53000,42300,54000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,54000,44000,55000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,54000,42900,55000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,53000,61000,54000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,53000,54400,54000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 111,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "40000,50000,81000,55000" +) +oxt "14000,66000,55000,71000" +) +*12 (HdlText +uid 445,0 +optionalChildren [ +*13 (EmbeddedText +uid 450,0 +commentText (CommentText +uid 451,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 452,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "7000,24000,37000,34000" +) +oxt "0,0,18000,5000" +text (MLText +uid 453,0 +va (VaSet +) +xt "7200,24200,25400,29200" +st " +reset <= '1', '0' after 2*clockPeriod; +sClock <= not sClock after clockPeriod/2; +clock <= transport sClock after clockPeriod*9/10; + +testMode <= '1', '0' after 100*clockPeriod; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 10000 +visibleWidth 30000 +) +) +) +] +shape (Rectangle +uid 446,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "6000,23000,38000,35000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 447,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*14 (Text +uid 448,0 +va (VaSet +) +xt "6400,35000,8000,36000" +st "eb1" +blo "6400,35800" +tm "HdlTextNameMgr" +) +*15 (Text +uid 449,0 +va (VaSet +) +xt "6400,36000,7200,37000" +st "1" +blo "6400,36800" +tm "HdlTextNumberMgr" +) +] +) +) +*16 (Net +uid 1122,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 11,0 +) +declText (MLText +uid 1123,0 +va (VaSet +) +xt "2000,15800,12600,16800" +st "SIGNAL clock : std_ulogic" +) +) +*17 (Net +uid 1130,0 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 12,0 +) +declText (MLText +uid 1131,0 +va (VaSet +) +xt "2000,17800,12500,18800" +st "SIGNAL reset : std_ulogic" +) +) +*18 (Net +uid 1503,0 +decl (Decl +n "pwmEn" +t "std_ulogic" +o 2 +suid 15,0 +) +declText (MLText +uid 1504,0 +va (VaSet +) +xt "2000,16800,13400,17800" +st "SIGNAL pwmEn : std_ulogic" +) +) +*19 (Net +uid 1511,0 +decl (Decl +n "testMode" +t "std_ulogic" +o 4 +suid 16,0 +) +declText (MLText +uid 1512,0 +va (VaSet +) +xt "2000,18800,13300,19800" +st "SIGNAL testMode : std_ulogic" +) +) +*20 (SaComponent +uid 1601,0 +optionalChildren [ +*21 (CptPort +uid 1585,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1586,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,26625,46000,27375" +) +tg (CPTG +uid 1587,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1588,0 +va (VaSet +font "Arial,9,0" +) +xt "47000,26550,51300,27750" +st "testMode" +blo "47000,27450" +) +) +thePort (LogicalPort +decl (Decl +n "testMode" +t "std_ulogic" +o 1 +suid 2004,0 +) +) +) +*22 (CptPort +uid 1589,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1590,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,30625,46000,31375" +) +tg (CPTG +uid 1591,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1592,0 +va (VaSet +font "Arial,9,0" +) +xt "47000,30550,49700,31750" +st "clock" +blo "47000,31450" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2005,0 +) +) +) +*23 (CptPort +uid 1593,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1594,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,32625,46000,33375" +) +tg (CPTG +uid 1595,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1596,0 +va (VaSet +font "Arial,9,0" +) +xt "47000,32550,49600,33750" +st "reset" +blo "47000,33450" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2006,0 +) +) +) +*24 (CptPort +uid 1597,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1598,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,26625,62750,27375" +) +tg (CPTG +uid 1599,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1600,0 +va (VaSet +font "Arial,9,0" +) +xt "57500,26550,61000,27750" +st "pwmEn" +ju 2 +blo "61000,27450" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "pwmEn" +t "std_ulogic" +o 4 +suid 2007,0 +) +) +) +] +shape (Rectangle +uid 1602,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "46000,23000,62000,35000" +) +oxt "32000,12000,48000,24000" +ttg (MlTextGroup +uid 1603,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 1604,0 +va (VaSet +font "Arial,9,1" +) +xt "46600,34800,49100,35900" +st "VHD" +blo "46600,35700" +tm "BdLibraryNameMgr" +) +*26 (Text +uid 1605,0 +va (VaSet +font "Arial,9,1" +) +xt "46600,35900,51600,37000" +st "ex_24_1_3" +blo "46600,36800" +tm "CptNameMgr" +) +*27 (Text +uid 1606,0 +va (VaSet +font "Arial,9,1" +) +xt "46600,37000,49400,38100" +st "I_dut" +blo "46600,37900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1607,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1608,0 +text (MLText +uid 1609,0 +va (VaSet +) +xt "46000,38400,58500,40400" +st "timerBitNb = 13 ( positive ) +testModeBitNb = 1 ( positive ) +" +) +header "" +) +elements [ +(GiElement +name "timerBitNb" +type "positive" +value "13" +) +(GiElement +name "testModeBitNb" +type "positive" +value "1" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*28 (Wire +uid 1124,0 +shape (OrthoPolyLine +uid 1125,0 +va (VaSet +vasetType 3 +) +xt "38000,31000,45250,31000" +pts [ +"45250,31000" +"38000,31000" +] +) +start &22 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1128,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1129,0 +va (VaSet +font "Arial,12,0" +) +xt "40250,29700,43750,31200" +st "clock" +blo "40250,30900" +tm "WireNameMgr" +) +) +on &16 +) +*29 (Wire +uid 1132,0 +shape (OrthoPolyLine +uid 1133,0 +va (VaSet +vasetType 3 +) +xt "38000,33000,45250,33000" +pts [ +"45250,33000" +"38000,33000" +] +) +start &23 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1136,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1137,0 +va (VaSet +font "Arial,12,0" +) +xt "40250,31700,43750,33200" +st "reset" +blo "40250,32900" +tm "WireNameMgr" +) +) +on &17 +) +*30 (Wire +uid 1505,0 +shape (OrthoPolyLine +uid 1506,0 +va (VaSet +vasetType 3 +) +xt "62750,27000,70000,27000" +pts [ +"62750,27000" +"70000,27000" +] +) +start &24 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1509,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1510,0 +va (VaSet +font "Arial,12,0" +) +xt "64750,25700,69650,27200" +st "pwmEn" +blo "64750,26900" +tm "WireNameMgr" +) +) +on &18 +) +*31 (Wire +uid 1513,0 +shape (OrthoPolyLine +uid 1514,0 +va (VaSet +vasetType 3 +) +xt "38000,27000,45250,27000" +pts [ +"45250,27000" +"38000,27000" +] +) +start &21 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1517,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1518,0 +va (VaSet +font "Arial,12,0" +) +xt "38250,25700,43950,27200" +st "testMode" +blo "38250,26900" +tm "WireNameMgr" +) +) +on &19 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *32 (PackageList +uid 142,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 143,0 +va (VaSet +font "Arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*34 (MLText +uid 144,0 +va (VaSet +) +xt "0,1000,11200,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 145,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*36 (Text +uid 147,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*37 (MLText +uid 148,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,27600,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*38 (Text +uid 149,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*39 (MLText +uid 150,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 151,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 152,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "168,53,1378,952" +viewArea "-1200,-1200,83252,58226" +cachedDiagramExtent "0,0,81000,55000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 1077 +paperHeight 761 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 1077 +windowsPaperHeight 761 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 90 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 1702,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2100,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*43 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*44 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*46 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*47 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*49 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*50 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*52 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*53 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*55 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*56 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*58 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2100,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1000,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,3100,1000" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,6300,2000" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,12500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*60 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,7300,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*62 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,4800,5400,5800" +st "Declarations" +blo "0,5600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,5800,2700,6800" +st "Ports:" +blo "0,6600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,6800,3800,7800" +st "Pre User:" +blo "0,7600" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "2000,7800,23700,14800" +st "constant positionBitNb : positive := 8; + +constant clockFrequency : real := 100.0E6; +constant clockPeriod : time := (1.0/clockFrequency) * 1 sec; +signal sClock : std_uLogic := '1'; + +signal position_int : integer := 0;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Arial,8,1" +) +xt "0,14800,7100,15800" +st "Diagram Signals:" +blo "0,15600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,4800,4700,5800" +st "Post User:" +blo "0,5600" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "0,4800,0,4800" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 16,0 +usingSuid 1 +emptyRow *63 (LEmptyRow +) +uid 565,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*71 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*72 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*73 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*74 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*75 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*76 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 11,0 +) +) +uid 1144,0 +) +*77 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 12,0 +) +) +uid 1146,0 +) +*78 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "pwmEn" +t "std_ulogic" +o 2 +suid 15,0 +) +) +uid 1519,0 +) +*79 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "testMode" +t "std_ulogic" +o 4 +suid 16,0 +) +) +uid 1521,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 578,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 4 +dimension 20 +) +uid 580,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 581,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 582,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 583,0 +) +*85 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 1145,0 +) +*86 (MRCItem +litem &77 +pos 1 +dimension 20 +uid 1147,0 +) +*87 (MRCItem +litem &78 +pos 2 +dimension 20 +uid 1520,0 +) +*88 (MRCItem +litem &79 +pos 3 +dimension 20 +uid 1522,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 584,0 +optionalChildren [ +*89 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 585,0 +) +*90 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 586,0 +) +*91 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 587,0 +) +*92 (MRCItem +litem &71 +pos 3 +dimension 50 +uid 588,0 +) +*93 (MRCItem +litem &72 +pos 4 +dimension 100 +uid 589,0 +) +*94 (MRCItem +litem &73 +pos 5 +dimension 100 +uid 590,0 +) +*95 (MRCItem +litem &74 +pos 6 +dimension 50 +uid 591,0 +) +*96 (MRCItem +litem &75 +pos 7 +dimension 80 +uid 592,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 579,0 +vaOverrides [ +] +) +] +) +uid 564,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *97 (LEmptyRow +) +uid 594,0 +optionalChildren [ +*98 (RefLabelRowHdr +) +*99 (TitleRowHdr +) +*100 (FilterRowHdr +) +*101 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*102 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*103 (GroupColHdr +tm "GroupColHdrMgr" +) +*104 (NameColHdr +tm "GenericNameColHdrMgr" +) +*105 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*106 (InitColHdr +tm "GenericValueColHdrMgr" +) +*107 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*108 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 606,0 +optionalChildren [ +*109 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *110 (MRCItem +litem &97 +pos 0 +dimension 20 +) +uid 608,0 +optionalChildren [ +*111 (MRCItem +litem &98 +pos 0 +dimension 20 +uid 609,0 +) +*112 (MRCItem +litem &99 +pos 1 +dimension 23 +uid 610,0 +) +*113 (MRCItem +litem &100 +pos 2 +hidden 1 +dimension 20 +uid 611,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 612,0 +optionalChildren [ +*114 (MRCItem +litem &101 +pos 0 +dimension 20 +uid 613,0 +) +*115 (MRCItem +litem &103 +pos 1 +dimension 50 +uid 614,0 +) +*116 (MRCItem +litem &104 +pos 2 +dimension 100 +uid 615,0 +) +*117 (MRCItem +litem &105 +pos 3 +dimension 100 +uid 616,0 +) +*118 (MRCItem +litem &106 +pos 4 +dimension 50 +uid 617,0 +) +*119 (MRCItem +litem &107 +pos 5 +dimension 50 +uid 618,0 +) +*120 (MRCItem +litem &108 +pos 6 +dimension 80 +uid 619,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 607,0 +vaOverrides [ +] +) +] +) +uid 593,0 +type 1 +) +activeModelName "BlockDiag" +)