3043 lines
37 KiB
Plaintext
3043 lines
37 KiB
Plaintext
|
DocumentHdrVersion "1.1"
|
||
|
Header (DocumentHdr
|
||
|
version 2
|
||
|
dialect 11
|
||
|
dmPackageRefs [
|
||
|
(DmPackageRef
|
||
|
library "ieee"
|
||
|
unitName "std_logic_1164"
|
||
|
)
|
||
|
(DmPackageRef
|
||
|
library "ieee"
|
||
|
unitName "numeric_std"
|
||
|
itemName "ALL"
|
||
|
)
|
||
|
]
|
||
|
instances [
|
||
|
(Instance
|
||
|
name "I_tb"
|
||
|
duLibraryName "SplineInterpolator_test"
|
||
|
duName "sineGen_tester"
|
||
|
elements [
|
||
|
(GiElement
|
||
|
name "signalBitNb"
|
||
|
type "positive"
|
||
|
value "signalBitNb"
|
||
|
)
|
||
|
(GiElement
|
||
|
name "phaseBitNb"
|
||
|
type "positive"
|
||
|
value "phaseBitNb"
|
||
|
)
|
||
|
(GiElement
|
||
|
name "clockFrequency"
|
||
|
type "real"
|
||
|
value "clockFrequency"
|
||
|
)
|
||
|
]
|
||
|
mwi 0
|
||
|
uid 421,0
|
||
|
)
|
||
|
(Instance
|
||
|
name "I_DUT"
|
||
|
duLibraryName "SplineInterpolator"
|
||
|
duName "sineGen"
|
||
|
elements [
|
||
|
(GiElement
|
||
|
name "signalBitNb"
|
||
|
type "positive"
|
||
|
value "signalBitNb"
|
||
|
)
|
||
|
(GiElement
|
||
|
name "phaseBitNb"
|
||
|
type "positive"
|
||
|
value "phaseBitNb"
|
||
|
)
|
||
|
]
|
||
|
mwi 0
|
||
|
uid 1519,0
|
||
|
)
|
||
|
]
|
||
|
libraryRefs [
|
||
|
"ieee"
|
||
|
]
|
||
|
)
|
||
|
version "32.1"
|
||
|
appVersion "2019.2 (Build 5)"
|
||
|
noEmbeddedEditors 1
|
||
|
model (BlockDiag
|
||
|
VExpander (VariableExpander
|
||
|
vvMap [
|
||
|
(vvPair
|
||
|
variable " "
|
||
|
value " "
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "HDLDir"
|
||
|
value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hdl"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "HDSDir"
|
||
|
value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "SideDataDesignDir"
|
||
|
value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tb\\struct.bd.info"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "SideDataUserDir"
|
||
|
value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tb\\struct.bd.user"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "SourceDir"
|
||
|
value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "appl"
|
||
|
value "HDL Designer"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "arch_name"
|
||
|
value "struct"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "asm_file"
|
||
|
value "beamer.asm"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "concat_file"
|
||
|
value "concatenated"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "config"
|
||
|
value "%(unit)_%(view)_config"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "d"
|
||
|
value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tb"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "d_logical"
|
||
|
value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sineGen_tb"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "date"
|
||
|
value "28.04.2023"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "day"
|
||
|
value "ven."
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "day_long"
|
||
|
value "vendredi"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "dd"
|
||
|
value "28"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "designName"
|
||
|
value "$DESIGN_NAME"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "entity_name"
|
||
|
value "sineGen_tb"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "ext"
|
||
|
value "<TBD>"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "f"
|
||
|
value "struct.bd"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "f_logical"
|
||
|
value "struct.bd"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "f_noext"
|
||
|
value "struct"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "graphical_source_author"
|
||
|
value "axel.amand"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "graphical_source_date"
|
||
|
value "28.04.2023"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "graphical_source_group"
|
||
|
value "UNKNOWN"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "graphical_source_host"
|
||
|
value "WE7860"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "graphical_source_time"
|
||
|
value "14:41:39"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "group"
|
||
|
value "UNKNOWN"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "host"
|
||
|
value "WE7860"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "language"
|
||
|
value "VHDL"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "library"
|
||
|
value "SplineInterpolator_test"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "library_downstream_ModelSim"
|
||
|
value "D:\\Users\\ELN_labs\\VHDL_comp"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "library_downstream_ModelSimCompiler"
|
||
|
value "$SCRATCH_DIR/SplineInterpolator_test"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "mm"
|
||
|
value "04"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "module_name"
|
||
|
value "sineGen_tb"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "month"
|
||
|
value "avr."
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "month_long"
|
||
|
value "avril"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "p"
|
||
|
value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tb\\struct.bd"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "p_logical"
|
||
|
value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sineGen_tb\\struct.bd"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "package_name"
|
||
|
value "<Undefined Variable>"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "project_name"
|
||
|
value "hds"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "series"
|
||
|
value "HDL Designer Series"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "task_ADMS"
|
||
|
value "<TBD>"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "task_AsmPath"
|
||
|
value "$HEI_LIBS_DIR/NanoBlaze/hdl"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "task_DesignCompilerPath"
|
||
|
value "<TBD>"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "task_HDSPath"
|
||
|
value "$HDS_HOME"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "task_ISEBinPath"
|
||
|
value "$ISE_HOME"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "task_ISEPath"
|
||
|
value "$ISE_WORK_DIR"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "task_LeonardoPath"
|
||
|
value "<TBD>"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "task_ModelSimPath"
|
||
|
value "$MODELSIM_HOME/modeltech/bin"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "task_NC"
|
||
|
value "<TBD>"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "task_PrecisionRTLPath"
|
||
|
value "<TBD>"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "task_QuestaSimPath"
|
||
|
value "<TBD>"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "task_VCSPath"
|
||
|
value "<TBD>"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "this_ext"
|
||
|
value "bd"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "this_file"
|
||
|
value "struct"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "this_file_logical"
|
||
|
value "struct"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "time"
|
||
|
value "14:41:39"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "unit"
|
||
|
value "sineGen_tb"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "user"
|
||
|
value "axel.amand"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "version"
|
||
|
value "2019.2 (Build 5)"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "view"
|
||
|
value "struct"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "year"
|
||
|
value "2023"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "yy"
|
||
|
value "23"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
LanguageMgr "Vhdl2008LangMgr"
|
||
|
uid 153,0
|
||
|
optionalChildren [
|
||
|
*1 (Net
|
||
|
uid 45,0
|
||
|
decl (Decl
|
||
|
n "reset"
|
||
|
t "std_ulogic"
|
||
|
o 2
|
||
|
suid 1,0
|
||
|
)
|
||
|
declText (MLText
|
||
|
uid 46,0
|
||
|
va (VaSet
|
||
|
font "Verdana,8,0"
|
||
|
)
|
||
|
xt "2000,50000,14600,51000"
|
||
|
st "SIGNAL reset : std_ulogic
|
||
|
"
|
||
|
)
|
||
|
)
|
||
|
*2 (Net
|
||
|
uid 53,0
|
||
|
decl (Decl
|
||
|
n "clock"
|
||
|
t "std_ulogic"
|
||
|
o 1
|
||
|
suid 2,0
|
||
|
)
|
||
|
declText (MLText
|
||
|
uid 54,0
|
||
|
va (VaSet
|
||
|
font "Verdana,8,0"
|
||
|
)
|
||
|
xt "2000,49000,14600,50000"
|
||
|
st "SIGNAL clock : std_ulogic
|
||
|
"
|
||
|
)
|
||
|
)
|
||
|
*3 (Grouping
|
||
|
uid 110,0
|
||
|
optionalChildren [
|
||
|
*4 (CommentText
|
||
|
uid 112,0
|
||
|
shape (Rectangle
|
||
|
uid 113,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "44000,54000,61000,55000"
|
||
|
)
|
||
|
oxt "18000,70000,35000,71000"
|
||
|
text (MLText
|
||
|
uid 114,0
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
bg "0,0,32768"
|
||
|
)
|
||
|
xt "44200,54500,44200,54500"
|
||
|
st "
|
||
|
by %user on %dd %month %year
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 1000
|
||
|
visibleWidth 17000
|
||
|
)
|
||
|
position 1
|
||
|
ignorePrefs 1
|
||
|
)
|
||
|
*5 (CommentText
|
||
|
uid 115,0
|
||
|
shape (Rectangle
|
||
|
uid 116,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "61000,50000,65000,51000"
|
||
|
)
|
||
|
oxt "35000,66000,39000,67000"
|
||
|
text (MLText
|
||
|
uid 117,0
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
bg "0,0,32768"
|
||
|
)
|
||
|
xt "61200,50500,61200,50500"
|
||
|
st "
|
||
|
Project:
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 1000
|
||
|
visibleWidth 4000
|
||
|
)
|
||
|
position 1
|
||
|
ignorePrefs 1
|
||
|
)
|
||
|
*6 (CommentText
|
||
|
uid 118,0
|
||
|
shape (Rectangle
|
||
|
uid 119,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "44000,52000,61000,53000"
|
||
|
)
|
||
|
oxt "18000,68000,35000,69000"
|
||
|
text (MLText
|
||
|
uid 120,0
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
bg "0,0,32768"
|
||
|
)
|
||
|
xt "44200,52500,44200,52500"
|
||
|
st "
|
||
|
<enter diagram title here>
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 1000
|
||
|
visibleWidth 17000
|
||
|
)
|
||
|
position 1
|
||
|
ignorePrefs 1
|
||
|
)
|
||
|
*7 (CommentText
|
||
|
uid 121,0
|
||
|
shape (Rectangle
|
||
|
uid 122,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "40000,52000,44000,53000"
|
||
|
)
|
||
|
oxt "14000,68000,18000,69000"
|
||
|
text (MLText
|
||
|
uid 123,0
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
bg "0,0,32768"
|
||
|
)
|
||
|
xt "40200,52500,40200,52500"
|
||
|
st "
|
||
|
Title:
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 1000
|
||
|
visibleWidth 4000
|
||
|
)
|
||
|
position 1
|
||
|
ignorePrefs 1
|
||
|
)
|
||
|
*8 (CommentText
|
||
|
uid 124,0
|
||
|
shape (Rectangle
|
||
|
uid 125,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "61000,51000,81000,55000"
|
||
|
)
|
||
|
oxt "35000,67000,55000,71000"
|
||
|
text (MLText
|
||
|
uid 126,0
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
bg "0,0,32768"
|
||
|
)
|
||
|
xt "61200,51200,75300,52400"
|
||
|
st "
|
||
|
<enter comments here>
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 4000
|
||
|
visibleWidth 20000
|
||
|
)
|
||
|
ignorePrefs 1
|
||
|
)
|
||
|
*9 (CommentText
|
||
|
uid 127,0
|
||
|
shape (Rectangle
|
||
|
uid 128,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "65000,50000,81000,51000"
|
||
|
)
|
||
|
oxt "39000,66000,55000,67000"
|
||
|
text (MLText
|
||
|
uid 129,0
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
bg "0,0,32768"
|
||
|
)
|
||
|
xt "65200,50500,65200,50500"
|
||
|
st "
|
||
|
<enter project name here>
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 1000
|
||
|
visibleWidth 16000
|
||
|
)
|
||
|
position 1
|
||
|
ignorePrefs 1
|
||
|
)
|
||
|
*10 (CommentText
|
||
|
uid 130,0
|
||
|
shape (Rectangle
|
||
|
uid 131,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "40000,50000,61000,52000"
|
||
|
)
|
||
|
oxt "14000,66000,35000,68000"
|
||
|
text (MLText
|
||
|
uid 132,0
|
||
|
va (VaSet
|
||
|
fg "32768,0,0"
|
||
|
)
|
||
|
xt "45350,50400,55650,51600"
|
||
|
st "
|
||
|
<company name>
|
||
|
"
|
||
|
ju 0
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 2000
|
||
|
visibleWidth 21000
|
||
|
)
|
||
|
position 1
|
||
|
ignorePrefs 1
|
||
|
)
|
||
|
*11 (CommentText
|
||
|
uid 133,0
|
||
|
shape (Rectangle
|
||
|
uid 134,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "40000,53000,44000,54000"
|
||
|
)
|
||
|
oxt "14000,69000,18000,70000"
|
||
|
text (MLText
|
||
|
uid 135,0
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
bg "0,0,32768"
|
||
|
)
|
||
|
xt "40200,53500,40200,53500"
|
||
|
st "
|
||
|
Path:
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 1000
|
||
|
visibleWidth 4000
|
||
|
)
|
||
|
position 1
|
||
|
ignorePrefs 1
|
||
|
)
|
||
|
*12 (CommentText
|
||
|
uid 136,0
|
||
|
shape (Rectangle
|
||
|
uid 137,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "40000,54000,44000,55000"
|
||
|
)
|
||
|
oxt "14000,70000,18000,71000"
|
||
|
text (MLText
|
||
|
uid 138,0
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
bg "0,0,32768"
|
||
|
)
|
||
|
xt "40200,54500,40200,54500"
|
||
|
st "
|
||
|
Edited:
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 1000
|
||
|
visibleWidth 4000
|
||
|
)
|
||
|
position 1
|
||
|
ignorePrefs 1
|
||
|
)
|
||
|
*13 (CommentText
|
||
|
uid 139,0
|
||
|
shape (Rectangle
|
||
|
uid 140,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "44000,53000,61000,54000"
|
||
|
)
|
||
|
oxt "18000,69000,35000,70000"
|
||
|
text (MLText
|
||
|
uid 141,0
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
bg "0,0,32768"
|
||
|
)
|
||
|
xt "44200,53500,44200,53500"
|
||
|
st "
|
||
|
%library/%unit/%view
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 1000
|
||
|
visibleWidth 17000
|
||
|
)
|
||
|
position 1
|
||
|
ignorePrefs 1
|
||
|
)
|
||
|
]
|
||
|
shape (GroupingShape
|
||
|
uid 111,0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
lineStyle 2
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "40000,50000,81000,55000"
|
||
|
)
|
||
|
oxt "14000,66000,55000,71000"
|
||
|
)
|
||
|
*14 (Blk
|
||
|
uid 421,0
|
||
|
shape (Rectangle
|
||
|
uid 422,0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "40000,56832,65535"
|
||
|
)
|
||
|
xt "15000,28000,69000,36000"
|
||
|
)
|
||
|
oxt "0,0,8000,10000"
|
||
|
ttg (MlTextGroup
|
||
|
uid 423,0
|
||
|
ps "CenterOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*15 (Text
|
||
|
uid 424,0
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "15700,36200,29500,37400"
|
||
|
st "SplineInterpolator_test"
|
||
|
blo "15700,37200"
|
||
|
tm "BdLibraryNameMgr"
|
||
|
)
|
||
|
*16 (Text
|
||
|
uid 425,0
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "15700,37400,24800,38600"
|
||
|
st "sineGen_tester"
|
||
|
blo "15700,38400"
|
||
|
tm "BlkNameMgr"
|
||
|
)
|
||
|
*17 (Text
|
||
|
uid 426,0
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "15700,38600,18500,39800"
|
||
|
st "I_tb"
|
||
|
blo "15700,39600"
|
||
|
tm "InstanceNameMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
ga (GenericAssociation
|
||
|
uid 427,0
|
||
|
ps "EdgeToEdgeStrategy"
|
||
|
matrix (Matrix
|
||
|
uid 428,0
|
||
|
text (MLText
|
||
|
uid 429,0
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "28000,36000,54200,39600"
|
||
|
st "signalBitNb = signalBitNb ( positive )
|
||
|
phaseBitNb = phaseBitNb ( positive )
|
||
|
clockFrequency = clockFrequency ( real )
|
||
|
"
|
||
|
)
|
||
|
header ""
|
||
|
)
|
||
|
elements [
|
||
|
(GiElement
|
||
|
name "signalBitNb"
|
||
|
type "positive"
|
||
|
value "signalBitNb"
|
||
|
)
|
||
|
(GiElement
|
||
|
name "phaseBitNb"
|
||
|
type "positive"
|
||
|
value "phaseBitNb"
|
||
|
)
|
||
|
(GiElement
|
||
|
name "clockFrequency"
|
||
|
type "real"
|
||
|
value "clockFrequency"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
)
|
||
|
*18 (Net
|
||
|
uid 909,0
|
||
|
decl (Decl
|
||
|
n "sine"
|
||
|
t "unsigned"
|
||
|
b "(signalBitNb-1 DOWNTO 0)"
|
||
|
o 4
|
||
|
suid 4,0
|
||
|
)
|
||
|
declText (MLText
|
||
|
uid 910,0
|
||
|
va (VaSet
|
||
|
font "Verdana,8,0"
|
||
|
)
|
||
|
xt "2000,52000,25500,53000"
|
||
|
st "SIGNAL sine : unsigned(signalBitNb-1 DOWNTO 0)
|
||
|
"
|
||
|
)
|
||
|
)
|
||
|
*19 (Net
|
||
|
uid 917,0
|
||
|
decl (Decl
|
||
|
n "triangle"
|
||
|
t "unsigned"
|
||
|
b "(signalBitNb-1 DOWNTO 0)"
|
||
|
o 7
|
||
|
suid 5,0
|
||
|
)
|
||
|
declText (MLText
|
||
|
uid 918,0
|
||
|
va (VaSet
|
||
|
font "Verdana,8,0"
|
||
|
)
|
||
|
xt "2000,55000,25700,56000"
|
||
|
st "SIGNAL triangle : unsigned(signalBitNb-1 DOWNTO 0)
|
||
|
"
|
||
|
)
|
||
|
)
|
||
|
*20 (Net
|
||
|
uid 925,0
|
||
|
decl (Decl
|
||
|
n "square"
|
||
|
t "unsigned"
|
||
|
b "(signalBitNb-1 DOWNTO 0)"
|
||
|
o 5
|
||
|
suid 6,0
|
||
|
)
|
||
|
declText (MLText
|
||
|
uid 926,0
|
||
|
va (VaSet
|
||
|
font "Verdana,8,0"
|
||
|
)
|
||
|
xt "2000,53000,26000,54000"
|
||
|
st "SIGNAL square : unsigned(signalBitNb-1 DOWNTO 0)
|
||
|
"
|
||
|
)
|
||
|
)
|
||
|
*21 (Net
|
||
|
uid 933,0
|
||
|
decl (Decl
|
||
|
n "sawtooth"
|
||
|
t "unsigned"
|
||
|
b "(signalBitNb-1 DOWNTO 0)"
|
||
|
o 3
|
||
|
suid 7,0
|
||
|
)
|
||
|
declText (MLText
|
||
|
uid 934,0
|
||
|
va (VaSet
|
||
|
font "Verdana,8,0"
|
||
|
)
|
||
|
xt "2000,51000,26400,52000"
|
||
|
st "SIGNAL sawtooth : unsigned(signalBitNb-1 DOWNTO 0)
|
||
|
"
|
||
|
)
|
||
|
)
|
||
|
*22 (Net
|
||
|
uid 996,0
|
||
|
decl (Decl
|
||
|
n "step"
|
||
|
t "unsigned"
|
||
|
b "(phaseBitNb-1 DOWNTO 0)"
|
||
|
o 6
|
||
|
suid 8,0
|
||
|
)
|
||
|
declText (MLText
|
||
|
uid 997,0
|
||
|
va (VaSet
|
||
|
font "Verdana,8,0"
|
||
|
)
|
||
|
xt "2000,54000,25700,55000"
|
||
|
st "SIGNAL step : unsigned(phaseBitNb-1 DOWNTO 0)
|
||
|
"
|
||
|
)
|
||
|
)
|
||
|
*23 (SaComponent
|
||
|
uid 1519,0
|
||
|
optionalChildren [
|
||
|
*24 (CptPort
|
||
|
uid 1491,0
|
||
|
ps "OnEdgeStrategy"
|
||
|
shape (Triangle
|
||
|
uid 1492,0
|
||
|
ro 90
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
)
|
||
|
xt "34250,15625,35000,16375"
|
||
|
)
|
||
|
tg (CPTG
|
||
|
uid 1493,0
|
||
|
ps "CptPortTextPlaceStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
f (Text
|
||
|
uid 1494,0
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "36000,15400,39400,16600"
|
||
|
st "clock"
|
||
|
blo "36000,16400"
|
||
|
)
|
||
|
)
|
||
|
thePort (LogicalPort
|
||
|
decl (Decl
|
||
|
n "clock"
|
||
|
t "std_ulogic"
|
||
|
o 1
|
||
|
suid 1,0
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
*25 (CptPort
|
||
|
uid 1495,0
|
||
|
ps "OnEdgeStrategy"
|
||
|
shape (Triangle
|
||
|
uid 1496,0
|
||
|
ro 90
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
)
|
||
|
xt "34250,17625,35000,18375"
|
||
|
)
|
||
|
tg (CPTG
|
||
|
uid 1497,0
|
||
|
ps "CptPortTextPlaceStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
f (Text
|
||
|
uid 1498,0
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "36000,17400,39300,18600"
|
||
|
st "reset"
|
||
|
blo "36000,18400"
|
||
|
)
|
||
|
)
|
||
|
thePort (LogicalPort
|
||
|
decl (Decl
|
||
|
n "reset"
|
||
|
t "std_ulogic"
|
||
|
o 2
|
||
|
suid 2,0
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
*26 (CptPort
|
||
|
uid 1499,0
|
||
|
ps "OnEdgeStrategy"
|
||
|
shape (Triangle
|
||
|
uid 1500,0
|
||
|
ro 90
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
)
|
||
|
xt "51000,9625,51750,10375"
|
||
|
)
|
||
|
tg (CPTG
|
||
|
uid 1501,0
|
||
|
ps "CptPortTextPlaceStrategy"
|
||
|
stg "RightVerticalLayoutStrategy"
|
||
|
f (Text
|
||
|
uid 1502,0
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "44800,9400,50000,10600"
|
||
|
st "sawtooth"
|
||
|
ju 2
|
||
|
blo "50000,10400"
|
||
|
)
|
||
|
)
|
||
|
thePort (LogicalPort
|
||
|
m 1
|
||
|
decl (Decl
|
||
|
n "sawtooth"
|
||
|
t "unsigned"
|
||
|
b "(signalBitNb-1 DOWNTO 0)"
|
||
|
o 4
|
||
|
suid 3,0
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
*27 (CptPort
|
||
|
uid 1503,0
|
||
|
ps "OnEdgeStrategy"
|
||
|
shape (Triangle
|
||
|
uid 1504,0
|
||
|
ro 90
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
)
|
||
|
xt "51000,15625,51750,16375"
|
||
|
)
|
||
|
tg (CPTG
|
||
|
uid 1505,0
|
||
|
ps "CptPortTextPlaceStrategy"
|
||
|
stg "RightVerticalLayoutStrategy"
|
||
|
f (Text
|
||
|
uid 1506,0
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "47200,15400,50000,16600"
|
||
|
st "sine"
|
||
|
ju 2
|
||
|
blo "50000,16400"
|
||
|
)
|
||
|
)
|
||
|
thePort (LogicalPort
|
||
|
m 1
|
||
|
decl (Decl
|
||
|
n "sine"
|
||
|
t "unsigned"
|
||
|
b "(signalBitNb-1 DOWNTO 0)"
|
||
|
o 5
|
||
|
suid 4,0
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
*28 (CptPort
|
||
|
uid 1507,0
|
||
|
ps "OnEdgeStrategy"
|
||
|
shape (Triangle
|
||
|
uid 1508,0
|
||
|
ro 90
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
)
|
||
|
xt "51000,13625,51750,14375"
|
||
|
)
|
||
|
tg (CPTG
|
||
|
uid 1509,0
|
||
|
ps "CptPortTextPlaceStrategy"
|
||
|
stg "RightVerticalLayoutStrategy"
|
||
|
f (Text
|
||
|
uid 1510,0
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "45500,13400,50000,14600"
|
||
|
st "triangle"
|
||
|
ju 2
|
||
|
blo "50000,14400"
|
||
|
)
|
||
|
)
|
||
|
thePort (LogicalPort
|
||
|
m 1
|
||
|
decl (Decl
|
||
|
n "triangle"
|
||
|
t "unsigned"
|
||
|
b "(signalBitNb-1 DOWNTO 0)"
|
||
|
o 7
|
||
|
suid 5,0
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
*29 (CptPort
|
||
|
uid 1511,0
|
||
|
ps "OnEdgeStrategy"
|
||
|
shape (Triangle
|
||
|
uid 1512,0
|
||
|
ro 90
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
)
|
||
|
xt "51000,11625,51750,12375"
|
||
|
)
|
||
|
tg (CPTG
|
||
|
uid 1513,0
|
||
|
ps "CptPortTextPlaceStrategy"
|
||
|
stg "RightVerticalLayoutStrategy"
|
||
|
f (Text
|
||
|
uid 1514,0
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "45900,11400,50000,12600"
|
||
|
st "square"
|
||
|
ju 2
|
||
|
blo "50000,12400"
|
||
|
)
|
||
|
)
|
||
|
thePort (LogicalPort
|
||
|
m 1
|
||
|
decl (Decl
|
||
|
n "square"
|
||
|
t "unsigned"
|
||
|
b "(signalBitNb-1 DOWNTO 0)"
|
||
|
o 6
|
||
|
suid 6,0
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
*30 (CptPort
|
||
|
uid 1515,0
|
||
|
ps "OnEdgeStrategy"
|
||
|
shape (Triangle
|
||
|
uid 1516,0
|
||
|
ro 90
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
)
|
||
|
xt "34250,9625,35000,10375"
|
||
|
)
|
||
|
tg (CPTG
|
||
|
uid 1517,0
|
||
|
ps "CptPortTextPlaceStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
f (Text
|
||
|
uid 1518,0
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "36000,9400,38900,10600"
|
||
|
st "step"
|
||
|
blo "36000,10400"
|
||
|
)
|
||
|
)
|
||
|
thePort (LogicalPort
|
||
|
decl (Decl
|
||
|
n "step"
|
||
|
t "unsigned"
|
||
|
b "(phaseBitNb-1 DOWNTO 0)"
|
||
|
o 3
|
||
|
suid 8,0
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
]
|
||
|
shape (Rectangle
|
||
|
uid 1520,0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
bg "0,65535,0"
|
||
|
lineColor "0,32896,0"
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "35000,6000,51000,20000"
|
||
|
)
|
||
|
oxt "32000,16000,48000,30000"
|
||
|
ttg (MlTextGroup
|
||
|
uid 1521,0
|
||
|
ps "CenterOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*31 (Text
|
||
|
uid 1522,0
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "35600,19800,46000,21000"
|
||
|
st "SplineInterpolator"
|
||
|
blo "35600,20800"
|
||
|
tm "BdLibraryNameMgr"
|
||
|
)
|
||
|
*32 (Text
|
||
|
uid 1523,0
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "35600,20700,40100,21900"
|
||
|
st "sineGen"
|
||
|
blo "35600,21700"
|
||
|
tm "CptNameMgr"
|
||
|
)
|
||
|
*33 (Text
|
||
|
uid 1524,0
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "35600,21600,39300,22800"
|
||
|
st "I_DUT"
|
||
|
blo "35600,22600"
|
||
|
tm "InstanceNameMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
ga (GenericAssociation
|
||
|
uid 1525,0
|
||
|
ps "EdgeToEdgeStrategy"
|
||
|
matrix (Matrix
|
||
|
uid 1526,0
|
||
|
text (MLText
|
||
|
uid 1527,0
|
||
|
va (VaSet
|
||
|
font "Verdana,8,0"
|
||
|
)
|
||
|
xt "35000,23600,54200,25600"
|
||
|
st "signalBitNb = signalBitNb ( positive )
|
||
|
phaseBitNb = phaseBitNb ( positive ) "
|
||
|
)
|
||
|
header ""
|
||
|
)
|
||
|
elements [
|
||
|
(GiElement
|
||
|
name "signalBitNb"
|
||
|
type "positive"
|
||
|
value "signalBitNb"
|
||
|
)
|
||
|
(GiElement
|
||
|
name "phaseBitNb"
|
||
|
type "positive"
|
||
|
value "phaseBitNb"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
portVis (PortSigDisplay
|
||
|
sTC 0
|
||
|
)
|
||
|
archFileType "UNKNOWN"
|
||
|
)
|
||
|
*34 (Wire
|
||
|
uid 47,0
|
||
|
shape (OrthoPolyLine
|
||
|
uid 48,0
|
||
|
va (VaSet
|
||
|
vasetType 3
|
||
|
)
|
||
|
xt "31000,18000,34250,28000"
|
||
|
pts [
|
||
|
"31000,28000"
|
||
|
"31000,18000"
|
||
|
"34250,18000"
|
||
|
]
|
||
|
)
|
||
|
start &14
|
||
|
end &25
|
||
|
sat 2
|
||
|
eat 32
|
||
|
stc 0
|
||
|
st 0
|
||
|
sf 1
|
||
|
si 0
|
||
|
tg (WTG
|
||
|
uid 51,0
|
||
|
ps "ConnStartEndStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
uid 52,0
|
||
|
va (VaSet
|
||
|
font "Verdana,12,0"
|
||
|
)
|
||
|
xt "31000,16600,35100,18000"
|
||
|
st "reset"
|
||
|
blo "31000,17800"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
on &1
|
||
|
)
|
||
|
*35 (Wire
|
||
|
uid 55,0
|
||
|
shape (OrthoPolyLine
|
||
|
uid 56,0
|
||
|
va (VaSet
|
||
|
vasetType 3
|
||
|
)
|
||
|
xt "29000,16000,34250,28000"
|
||
|
pts [
|
||
|
"29000,28000"
|
||
|
"29000,16000"
|
||
|
"34250,16000"
|
||
|
]
|
||
|
)
|
||
|
start &14
|
||
|
end &24
|
||
|
sat 2
|
||
|
eat 32
|
||
|
stc 0
|
||
|
st 0
|
||
|
sf 1
|
||
|
si 0
|
||
|
tg (WTG
|
||
|
uid 59,0
|
||
|
ps "ConnStartEndStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
uid 60,0
|
||
|
va (VaSet
|
||
|
font "Verdana,12,0"
|
||
|
)
|
||
|
xt "31000,14600,34800,16000"
|
||
|
st "clock"
|
||
|
blo "31000,15800"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
on &2
|
||
|
)
|
||
|
*36 (Wire
|
||
|
uid 911,0
|
||
|
shape (OrthoPolyLine
|
||
|
uid 912,0
|
||
|
va (VaSet
|
||
|
vasetType 3
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "51750,16000,55000,28000"
|
||
|
pts [
|
||
|
"51750,16000"
|
||
|
"55000,16000"
|
||
|
"55000,28000"
|
||
|
]
|
||
|
)
|
||
|
start &27
|
||
|
end &14
|
||
|
sat 32
|
||
|
eat 1
|
||
|
sty 1
|
||
|
stc 0
|
||
|
st 0
|
||
|
sf 1
|
||
|
si 0
|
||
|
tg (WTG
|
||
|
uid 915,0
|
||
|
ps "ConnStartEndStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
uid 916,0
|
||
|
va (VaSet
|
||
|
font "Verdana,12,0"
|
||
|
)
|
||
|
xt "53750,14600,57150,16000"
|
||
|
st "sine"
|
||
|
blo "53750,15800"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
on &18
|
||
|
)
|
||
|
*37 (Wire
|
||
|
uid 919,0
|
||
|
shape (OrthoPolyLine
|
||
|
uid 920,0
|
||
|
va (VaSet
|
||
|
vasetType 3
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "51750,14000,57000,28000"
|
||
|
pts [
|
||
|
"51750,14000"
|
||
|
"57000,14000"
|
||
|
"57000,28000"
|
||
|
]
|
||
|
)
|
||
|
start &28
|
||
|
end &14
|
||
|
sat 32
|
||
|
eat 1
|
||
|
sty 1
|
||
|
stc 0
|
||
|
st 0
|
||
|
sf 1
|
||
|
si 0
|
||
|
tg (WTG
|
||
|
uid 923,0
|
||
|
ps "ConnStartEndStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
uid 924,0
|
||
|
va (VaSet
|
||
|
font "Verdana,12,0"
|
||
|
)
|
||
|
xt "53750,12600,59350,14000"
|
||
|
st "triangle"
|
||
|
blo "53750,13800"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
on &19
|
||
|
)
|
||
|
*38 (Wire
|
||
|
uid 927,0
|
||
|
shape (OrthoPolyLine
|
||
|
uid 928,0
|
||
|
va (VaSet
|
||
|
vasetType 3
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "51750,12000,59000,28000"
|
||
|
pts [
|
||
|
"51750,12000"
|
||
|
"59000,12000"
|
||
|
"59000,28000"
|
||
|
]
|
||
|
)
|
||
|
start &29
|
||
|
end &14
|
||
|
sat 32
|
||
|
eat 1
|
||
|
sty 1
|
||
|
stc 0
|
||
|
st 0
|
||
|
sf 1
|
||
|
si 0
|
||
|
tg (WTG
|
||
|
uid 931,0
|
||
|
ps "ConnStartEndStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
uid 932,0
|
||
|
va (VaSet
|
||
|
font "Verdana,12,0"
|
||
|
)
|
||
|
xt "53750,10600,58950,12000"
|
||
|
st "square"
|
||
|
blo "53750,11800"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
on &20
|
||
|
)
|
||
|
*39 (Wire
|
||
|
uid 935,0
|
||
|
shape (OrthoPolyLine
|
||
|
uid 936,0
|
||
|
va (VaSet
|
||
|
vasetType 3
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "51750,10000,61000,28000"
|
||
|
pts [
|
||
|
"51750,10000"
|
||
|
"61000,10000"
|
||
|
"61000,28000"
|
||
|
]
|
||
|
)
|
||
|
start &26
|
||
|
end &14
|
||
|
sat 32
|
||
|
eat 1
|
||
|
sty 1
|
||
|
stc 0
|
||
|
st 0
|
||
|
sf 1
|
||
|
si 0
|
||
|
tg (WTG
|
||
|
uid 939,0
|
||
|
ps "ConnStartEndStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
uid 940,0
|
||
|
va (VaSet
|
||
|
font "Verdana,12,0"
|
||
|
)
|
||
|
xt "53750,8600,60550,10000"
|
||
|
st "sawtooth"
|
||
|
blo "53750,9800"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
on &21
|
||
|
)
|
||
|
*40 (Wire
|
||
|
uid 998,0
|
||
|
shape (OrthoPolyLine
|
||
|
uid 999,0
|
||
|
va (VaSet
|
||
|
vasetType 3
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "23000,10000,34250,28000"
|
||
|
pts [
|
||
|
"34250,10000"
|
||
|
"23000,10000"
|
||
|
"23000,28000"
|
||
|
]
|
||
|
)
|
||
|
start &30
|
||
|
end &14
|
||
|
sat 32
|
||
|
eat 2
|
||
|
sty 1
|
||
|
stc 0
|
||
|
st 0
|
||
|
sf 1
|
||
|
si 0
|
||
|
tg (WTG
|
||
|
uid 1002,0
|
||
|
ps "ConnStartEndStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
uid 1003,0
|
||
|
va (VaSet
|
||
|
font "Verdana,12,0"
|
||
|
)
|
||
|
xt "30250,8600,33850,10000"
|
||
|
st "step"
|
||
|
blo "30250,9800"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
on &22
|
||
|
)
|
||
|
]
|
||
|
bg "65535,65535,65535"
|
||
|
grid (Grid
|
||
|
origin "0,0"
|
||
|
isVisible 0
|
||
|
isActive 1
|
||
|
xSpacing 1000
|
||
|
xySpacing 1000
|
||
|
xShown 1
|
||
|
yShown 1
|
||
|
color "26368,26368,26368"
|
||
|
)
|
||
|
packageList *41 (PackageList
|
||
|
uid 142,0
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*42 (Text
|
||
|
uid 143,0
|
||
|
va (VaSet
|
||
|
font "Verdana,8,1"
|
||
|
)
|
||
|
xt "0,0,6900,1000"
|
||
|
st "Package List"
|
||
|
blo "0,800"
|
||
|
)
|
||
|
*43 (MLText
|
||
|
uid 144,0
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,1000,17500,4600"
|
||
|
st "LIBRARY ieee;
|
||
|
USE ieee.std_logic_1164.all;
|
||
|
USE ieee.numeric_std.ALL;"
|
||
|
tm "PackageList"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
compDirBlock (MlTextGroup
|
||
|
uid 145,0
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*44 (Text
|
||
|
uid 146,0
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
font "Verdana,8,1"
|
||
|
)
|
||
|
xt "20000,0,30200,1000"
|
||
|
st "Compiler Directives"
|
||
|
blo "20000,800"
|
||
|
)
|
||
|
*45 (Text
|
||
|
uid 147,0
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
font "Verdana,8,1"
|
||
|
)
|
||
|
xt "20000,1000,32200,2000"
|
||
|
st "Pre-module directives:"
|
||
|
blo "20000,1800"
|
||
|
)
|
||
|
*46 (MLText
|
||
|
uid 148,0
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
)
|
||
|
xt "20000,2000,32100,4400"
|
||
|
st "`resetall
|
||
|
`timescale 1ns/10ps"
|
||
|
tm "BdCompilerDirectivesTextMgr"
|
||
|
)
|
||
|
*47 (Text
|
||
|
uid 149,0
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
font "Verdana,8,1"
|
||
|
)
|
||
|
xt "20000,4000,32800,5000"
|
||
|
st "Post-module directives:"
|
||
|
blo "20000,4800"
|
||
|
)
|
||
|
*48 (MLText
|
||
|
uid 150,0
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
)
|
||
|
xt "20000,0,20000,0"
|
||
|
tm "BdCompilerDirectivesTextMgr"
|
||
|
)
|
||
|
*49 (Text
|
||
|
uid 151,0
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
font "Verdana,8,1"
|
||
|
)
|
||
|
xt "20000,5000,32400,6000"
|
||
|
st "End-module directives:"
|
||
|
blo "20000,5800"
|
||
|
)
|
||
|
*50 (MLText
|
||
|
uid 152,0
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
)
|
||
|
xt "20000,6000,20000,6000"
|
||
|
tm "BdCompilerDirectivesTextMgr"
|
||
|
)
|
||
|
]
|
||
|
associable 1
|
||
|
)
|
||
|
windowSize "-8,-8,1928,1048"
|
||
|
viewArea "-1194,-1194,105025,56517"
|
||
|
cachedDiagramExtent "0,0,81000,56000"
|
||
|
pageSetupInfo (PageSetupInfo
|
||
|
ptrCmd "Generic PostScript Printer,winspool,"
|
||
|
fileName "\\\\EIV\\a309_hplj4050.electro.eiv"
|
||
|
toPrinter 1
|
||
|
xMargin 48
|
||
|
yMargin 48
|
||
|
paperWidth 1077
|
||
|
paperHeight 761
|
||
|
unixPaperWidth 595
|
||
|
unixPaperHeight 842
|
||
|
windowsPaperWidth 1077
|
||
|
windowsPaperHeight 761
|
||
|
paperType "A4"
|
||
|
unixPaperName "A4 (210mm x 297mm)"
|
||
|
windowsPaperName "A4"
|
||
|
scale 90
|
||
|
exportedDirectories [
|
||
|
"$HDS_PROJECT_DIR/HTMLExport"
|
||
|
]
|
||
|
boundaryWidth 0
|
||
|
)
|
||
|
hasePageBreakOrigin 1
|
||
|
pageBreakOrigin "0,0"
|
||
|
lastUid 1601,0
|
||
|
defaultCommentText (CommentText
|
||
|
shape (Rectangle
|
||
|
layer 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
lineStyle 2
|
||
|
)
|
||
|
xt "0,0,15000,5000"
|
||
|
)
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
fg "65535,0,0"
|
||
|
)
|
||
|
xt "200,200,3200,1400"
|
||
|
st "
|
||
|
Text
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 4600
|
||
|
visibleWidth 14600
|
||
|
)
|
||
|
)
|
||
|
defaultRequirementText (RequirementText
|
||
|
shape (ZoomableIcon
|
||
|
layer 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "59904,39936,65280"
|
||
|
lineColor "0,0,32768"
|
||
|
)
|
||
|
xt "0,0,1500,1750"
|
||
|
iconName "reqTracerRequirement.bmp"
|
||
|
iconMaskName "reqTracerRequirement.msk"
|
||
|
)
|
||
|
autoResize 1
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
font "Verdana,8,0"
|
||
|
)
|
||
|
xt "450,2150,1450,3150"
|
||
|
st "
|
||
|
Text
|
||
|
"
|
||
|
tm "RequirementText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 1350
|
||
|
visibleWidth 1100
|
||
|
)
|
||
|
)
|
||
|
defaultPanel (Panel
|
||
|
shape (RectFrame
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
lineColor "32768,0,0"
|
||
|
lineWidth 3
|
||
|
)
|
||
|
xt "0,0,20000,20000"
|
||
|
)
|
||
|
title (TextAssociate
|
||
|
ps "TopLeftStrategy"
|
||
|
text (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,10,1"
|
||
|
)
|
||
|
xt "1000,1000,4400,2200"
|
||
|
st "Panel0"
|
||
|
blo "1000,2000"
|
||
|
tm "PanelText"
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultBlk (Blk
|
||
|
shape (Rectangle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "40000,56832,65535"
|
||
|
)
|
||
|
xt "0,0,8000,10000"
|
||
|
)
|
||
|
ttg (MlTextGroup
|
||
|
ps "CenterOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*51 (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "1700,3200,6300,4400"
|
||
|
st "<library>"
|
||
|
blo "1700,4200"
|
||
|
tm "BdLibraryNameMgr"
|
||
|
)
|
||
|
*52 (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "1700,4400,5800,5600"
|
||
|
st "<block>"
|
||
|
blo "1700,5400"
|
||
|
tm "BlkNameMgr"
|
||
|
)
|
||
|
*53 (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "1700,5600,2900,6800"
|
||
|
st "I0"
|
||
|
blo "1700,6600"
|
||
|
tm "InstanceNameMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
ga (GenericAssociation
|
||
|
ps "EdgeToEdgeStrategy"
|
||
|
matrix (Matrix
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
)
|
||
|
xt "1700,13200,1700,13200"
|
||
|
)
|
||
|
header ""
|
||
|
)
|
||
|
elements [
|
||
|
]
|
||
|
)
|
||
|
)
|
||
|
defaultMWComponent (MWC
|
||
|
shape (Rectangle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
)
|
||
|
xt "0,0,8000,10000"
|
||
|
)
|
||
|
ttg (MlTextGroup
|
||
|
ps "CenterOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*54 (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "1000,3500,3300,4500"
|
||
|
st "Library"
|
||
|
blo "1000,4300"
|
||
|
)
|
||
|
*55 (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "1000,4500,7000,5500"
|
||
|
st "MWComponent"
|
||
|
blo "1000,5300"
|
||
|
)
|
||
|
*56 (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "1000,5500,1600,6500"
|
||
|
st "I0"
|
||
|
blo "1000,6300"
|
||
|
tm "InstanceNameMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
ga (GenericAssociation
|
||
|
ps "EdgeToEdgeStrategy"
|
||
|
matrix (Matrix
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
)
|
||
|
xt "-6000,1500,-6000,1500"
|
||
|
)
|
||
|
header ""
|
||
|
)
|
||
|
elements [
|
||
|
]
|
||
|
)
|
||
|
prms (Property
|
||
|
pclass "params"
|
||
|
pname "params"
|
||
|
ptn "String"
|
||
|
)
|
||
|
visOptions (mwParamsVisibilityOptions
|
||
|
)
|
||
|
)
|
||
|
defaultSaComponent (SaComponent
|
||
|
shape (Rectangle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
)
|
||
|
xt "0,0,8000,10000"
|
||
|
)
|
||
|
ttg (MlTextGroup
|
||
|
ps "CenterOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*57 (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "1250,3500,3550,4500"
|
||
|
st "Library"
|
||
|
blo "1250,4300"
|
||
|
tm "BdLibraryNameMgr"
|
||
|
)
|
||
|
*58 (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "1250,4500,6750,5500"
|
||
|
st "SaComponent"
|
||
|
blo "1250,5300"
|
||
|
tm "CptNameMgr"
|
||
|
)
|
||
|
*59 (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "1250,5500,1850,6500"
|
||
|
st "I0"
|
||
|
blo "1250,6300"
|
||
|
tm "InstanceNameMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
ga (GenericAssociation
|
||
|
ps "EdgeToEdgeStrategy"
|
||
|
matrix (Matrix
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
)
|
||
|
xt "-5750,1500,-5750,1500"
|
||
|
)
|
||
|
header ""
|
||
|
)
|
||
|
elements [
|
||
|
]
|
||
|
)
|
||
|
archFileType "UNKNOWN"
|
||
|
)
|
||
|
defaultVhdlComponent (VhdlComponent
|
||
|
shape (Rectangle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
)
|
||
|
xt "0,0,8000,10000"
|
||
|
)
|
||
|
ttg (MlTextGroup
|
||
|
ps "CenterOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*60 (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "950,3500,3250,4500"
|
||
|
st "Library"
|
||
|
blo "950,4300"
|
||
|
)
|
||
|
*61 (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "950,4500,7050,5500"
|
||
|
st "VhdlComponent"
|
||
|
blo "950,5300"
|
||
|
)
|
||
|
*62 (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "950,5500,1550,6500"
|
||
|
st "I0"
|
||
|
blo "950,6300"
|
||
|
tm "InstanceNameMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
ga (GenericAssociation
|
||
|
ps "EdgeToEdgeStrategy"
|
||
|
matrix (Matrix
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
)
|
||
|
xt "-6050,1500,-6050,1500"
|
||
|
)
|
||
|
header ""
|
||
|
)
|
||
|
elements [
|
||
|
]
|
||
|
)
|
||
|
entityPath ""
|
||
|
archName ""
|
||
|
archPath ""
|
||
|
)
|
||
|
defaultVerilogComponent (VerilogComponent
|
||
|
shape (Rectangle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
)
|
||
|
xt "-50,0,8050,10000"
|
||
|
)
|
||
|
ttg (MlTextGroup
|
||
|
ps "CenterOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*63 (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "450,3500,2750,4500"
|
||
|
st "Library"
|
||
|
blo "450,4300"
|
||
|
)
|
||
|
*64 (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "450,4500,7550,5500"
|
||
|
st "VerilogComponent"
|
||
|
blo "450,5300"
|
||
|
)
|
||
|
*65 (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "450,5500,1050,6500"
|
||
|
st "I0"
|
||
|
blo "450,6300"
|
||
|
tm "InstanceNameMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
ga (GenericAssociation
|
||
|
ps "EdgeToEdgeStrategy"
|
||
|
matrix (Matrix
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
)
|
||
|
xt "-6550,1500,-6550,1500"
|
||
|
)
|
||
|
header ""
|
||
|
)
|
||
|
elements [
|
||
|
]
|
||
|
)
|
||
|
entityPath ""
|
||
|
)
|
||
|
defaultHdlText (HdlText
|
||
|
shape (Rectangle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,32768"
|
||
|
)
|
||
|
xt "0,0,8000,10000"
|
||
|
)
|
||
|
ttg (MlTextGroup
|
||
|
ps "CenterOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*66 (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "3400,4000,4600,5000"
|
||
|
st "eb1"
|
||
|
blo "3400,4800"
|
||
|
tm "HdlTextNameMgr"
|
||
|
)
|
||
|
*67 (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "3400,5000,3800,6000"
|
||
|
st "1"
|
||
|
blo "3400,5800"
|
||
|
tm "HdlTextNumberMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
)
|
||
|
defaultEmbeddedText (EmbeddedText
|
||
|
commentText (CommentText
|
||
|
ps "CenterOffsetStrategy"
|
||
|
shape (Rectangle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
lineStyle 2
|
||
|
)
|
||
|
xt "0,0,18000,5000"
|
||
|
)
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "200,200,3200,1400"
|
||
|
st "
|
||
|
Text
|
||
|
"
|
||
|
tm "HdlTextMgr"
|
||
|
wrapOption 3
|
||
|
visibleHeight 4600
|
||
|
visibleWidth 17600
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultGlobalConnector (GlobalConnector
|
||
|
shape (Circle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,0"
|
||
|
)
|
||
|
xt "-1000,-1000,1000,1000"
|
||
|
radius 1000
|
||
|
)
|
||
|
name (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "-300,-500,300,500"
|
||
|
st "G"
|
||
|
blo "-300,300"
|
||
|
)
|
||
|
)
|
||
|
defaultRipper (Ripper
|
||
|
ps "OnConnectorStrategy"
|
||
|
shape (Line2D
|
||
|
pts [
|
||
|
"0,0"
|
||
|
"1000,1000"
|
||
|
]
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
)
|
||
|
xt "0,0,1000,1000"
|
||
|
)
|
||
|
)
|
||
|
defaultBdJunction (BdJunction
|
||
|
ps "OnConnectorStrategy"
|
||
|
shape (Circle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
)
|
||
|
xt "-400,-400,400,400"
|
||
|
radius 400
|
||
|
)
|
||
|
)
|
||
|
defaultPortIoIn (PortIoIn
|
||
|
shape (CompositeShape
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,0,32768"
|
||
|
)
|
||
|
optionalChildren [
|
||
|
(Pentagon
|
||
|
sl 0
|
||
|
ro 270
|
||
|
xt "-2000,-375,-500,375"
|
||
|
)
|
||
|
(Line
|
||
|
sl 0
|
||
|
ro 270
|
||
|
xt "-500,0,0,0"
|
||
|
pts [
|
||
|
"-500,0"
|
||
|
"0,0"
|
||
|
]
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
tg (WTG
|
||
|
ps "PortIoTextPlaceStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
font "Verdana,12,0"
|
||
|
)
|
||
|
xt "-1375,-1000,-1375,-1000"
|
||
|
ju 2
|
||
|
blo "-1375,-1000"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultPortIoOut (PortIoOut
|
||
|
shape (CompositeShape
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,0,32768"
|
||
|
)
|
||
|
optionalChildren [
|
||
|
(Pentagon
|
||
|
sl 0
|
||
|
ro 270
|
||
|
xt "500,-375,2000,375"
|
||
|
)
|
||
|
(Line
|
||
|
sl 0
|
||
|
ro 270
|
||
|
xt "0,0,500,0"
|
||
|
pts [
|
||
|
"0,0"
|
||
|
"500,0"
|
||
|
]
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
tg (WTG
|
||
|
ps "PortIoTextPlaceStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
font "Verdana,12,0"
|
||
|
)
|
||
|
xt "625,-1000,625,-1000"
|
||
|
blo "625,-1000"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultPortIoInOut (PortIoInOut
|
||
|
shape (CompositeShape
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,0,32768"
|
||
|
)
|
||
|
optionalChildren [
|
||
|
(Hexagon
|
||
|
sl 0
|
||
|
xt "500,-375,2000,375"
|
||
|
)
|
||
|
(Line
|
||
|
sl 0
|
||
|
xt "0,0,500,0"
|
||
|
pts [
|
||
|
"0,0"
|
||
|
"500,0"
|
||
|
]
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
tg (WTG
|
||
|
ps "PortIoTextPlaceStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
font "Verdana,12,0"
|
||
|
)
|
||
|
xt "0,-375,0,-375"
|
||
|
blo "0,-375"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultPortIoBuffer (PortIoBuffer
|
||
|
shape (CompositeShape
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
lineColor "0,0,32768"
|
||
|
)
|
||
|
optionalChildren [
|
||
|
(Hexagon
|
||
|
sl 0
|
||
|
xt "500,-375,2000,375"
|
||
|
)
|
||
|
(Line
|
||
|
sl 0
|
||
|
xt "0,0,500,0"
|
||
|
pts [
|
||
|
"0,0"
|
||
|
"500,0"
|
||
|
]
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
tg (WTG
|
||
|
ps "PortIoTextPlaceStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
font "Verdana,12,0"
|
||
|
)
|
||
|
xt "0,-375,0,-375"
|
||
|
blo "0,-375"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultSignal (Wire
|
||
|
shape (OrthoPolyLine
|
||
|
va (VaSet
|
||
|
vasetType 3
|
||
|
)
|
||
|
pts [
|
||
|
"0,0"
|
||
|
"0,0"
|
||
|
]
|
||
|
)
|
||
|
ss 0
|
||
|
es 0
|
||
|
sat 32
|
||
|
eat 32
|
||
|
stc 0
|
||
|
st 0
|
||
|
sf 1
|
||
|
si 0
|
||
|
tg (WTG
|
||
|
ps "ConnStartEndStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,12,0"
|
||
|
)
|
||
|
xt "0,0,2600,1400"
|
||
|
st "sig0"
|
||
|
blo "0,1200"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultBus (Wire
|
||
|
shape (OrthoPolyLine
|
||
|
va (VaSet
|
||
|
vasetType 3
|
||
|
lineWidth 2
|
||
|
)
|
||
|
pts [
|
||
|
"0,0"
|
||
|
"0,0"
|
||
|
]
|
||
|
)
|
||
|
ss 0
|
||
|
es 0
|
||
|
sat 32
|
||
|
eat 32
|
||
|
sty 1
|
||
|
stc 0
|
||
|
st 0
|
||
|
sf 1
|
||
|
si 0
|
||
|
tg (WTG
|
||
|
ps "ConnStartEndStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,12,0"
|
||
|
)
|
||
|
xt "0,0,3900,1400"
|
||
|
st "dbus0"
|
||
|
blo "0,1200"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultBundle (Bundle
|
||
|
shape (OrthoPolyLine
|
||
|
va (VaSet
|
||
|
vasetType 3
|
||
|
lineStyle 3
|
||
|
lineWidth 1
|
||
|
)
|
||
|
pts [
|
||
|
"0,0"
|
||
|
"0,0"
|
||
|
]
|
||
|
)
|
||
|
ss 0
|
||
|
es 0
|
||
|
sat 32
|
||
|
eat 32
|
||
|
textGroup (BiTextGroup
|
||
|
ps "ConnStartEndStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
first (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,0,2600,1000"
|
||
|
st "bundle0"
|
||
|
blo "0,800"
|
||
|
tm "BundleNameMgr"
|
||
|
)
|
||
|
second (MLText
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,1000,1500,2200"
|
||
|
st "()"
|
||
|
tm "BundleContentsMgr"
|
||
|
)
|
||
|
)
|
||
|
bundleNet &0
|
||
|
)
|
||
|
defaultPortMapFrame (PortMapFrame
|
||
|
ps "PortMapFrameStrategy"
|
||
|
shape (RectFrame
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
lineColor "0,0,50000"
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "0,0,10000,12000"
|
||
|
)
|
||
|
portMapText (BiTextGroup
|
||
|
ps "BottomRightOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
first (MLText
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,0,5000,1200"
|
||
|
st "Auto list"
|
||
|
)
|
||
|
second (MLText
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,1000,9600,2200"
|
||
|
st "User defined list"
|
||
|
tm "PortMapTextMgr"
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultGenFrame (Frame
|
||
|
shape (RectFrame
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
lineColor "28160,28160,28160"
|
||
|
lineStyle 2
|
||
|
lineWidth 3
|
||
|
)
|
||
|
xt "0,0,20000,20000"
|
||
|
)
|
||
|
title (TextAssociate
|
||
|
ps "TopLeftStrategy"
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,-1100,18500,100"
|
||
|
st "g0: FOR i IN 0 TO n GENERATE"
|
||
|
tm "FrameTitleTextMgr"
|
||
|
)
|
||
|
)
|
||
|
seqNum (FrameSequenceNumber
|
||
|
ps "TopLeftStrategy"
|
||
|
shape (Rectangle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
)
|
||
|
xt "50,50,1050,1450"
|
||
|
)
|
||
|
num (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "350,250,750,1250"
|
||
|
st "1"
|
||
|
blo "350,1050"
|
||
|
tm "FrameSeqNumMgr"
|
||
|
)
|
||
|
)
|
||
|
decls (MlTextGroup
|
||
|
ps "BottomRightOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*68 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,8,1"
|
||
|
)
|
||
|
xt "14100,20000,22000,21000"
|
||
|
st "Frame Declarations"
|
||
|
blo "14100,20800"
|
||
|
)
|
||
|
*69 (MLText
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "14100,21000,14100,21000"
|
||
|
tm "BdFrameDeclTextMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
)
|
||
|
defaultBlockFrame (Frame
|
||
|
shape (RectFrame
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
lineColor "28160,28160,28160"
|
||
|
lineStyle 1
|
||
|
lineWidth 3
|
||
|
)
|
||
|
xt "0,0,20000,20000"
|
||
|
)
|
||
|
title (TextAssociate
|
||
|
ps "TopLeftStrategy"
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,-1100,11000,100"
|
||
|
st "b0: BLOCK (guard)"
|
||
|
tm "FrameTitleTextMgr"
|
||
|
)
|
||
|
)
|
||
|
seqNum (FrameSequenceNumber
|
||
|
ps "TopLeftStrategy"
|
||
|
shape (Rectangle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
)
|
||
|
xt "50,50,1050,1450"
|
||
|
)
|
||
|
num (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "350,250,750,1250"
|
||
|
st "1"
|
||
|
blo "350,1050"
|
||
|
tm "FrameSeqNumMgr"
|
||
|
)
|
||
|
)
|
||
|
decls (MlTextGroup
|
||
|
ps "BottomRightOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*70 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,8,1"
|
||
|
)
|
||
|
xt "14100,20000,22000,21000"
|
||
|
st "Frame Declarations"
|
||
|
blo "14100,20800"
|
||
|
)
|
||
|
*71 (MLText
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "14100,21000,14100,21000"
|
||
|
tm "BdFrameDeclTextMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
style 3
|
||
|
)
|
||
|
defaultSaCptPort (CptPort
|
||
|
ps "OnEdgeStrategy"
|
||
|
shape (Triangle
|
||
|
ro 90
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
)
|
||
|
xt "0,0,750,750"
|
||
|
)
|
||
|
tg (CPTG
|
||
|
ps "CptPortTextPlaceStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
f (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,750,1400,1750"
|
||
|
st "Port"
|
||
|
blo "0,1550"
|
||
|
)
|
||
|
)
|
||
|
thePort (LogicalPort
|
||
|
decl (Decl
|
||
|
n "Port"
|
||
|
t ""
|
||
|
o 0
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultSaCptPortBuffer (CptPort
|
||
|
ps "OnEdgeStrategy"
|
||
|
shape (Diamond
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
)
|
||
|
xt "0,0,750,750"
|
||
|
)
|
||
|
tg (CPTG
|
||
|
ps "CptPortTextPlaceStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
f (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,750,1400,1750"
|
||
|
st "Port"
|
||
|
blo "0,1550"
|
||
|
)
|
||
|
)
|
||
|
thePort (LogicalPort
|
||
|
m 3
|
||
|
decl (Decl
|
||
|
n "Port"
|
||
|
t ""
|
||
|
o 0
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultDeclText (MLText
|
||
|
va (VaSet
|
||
|
font "Verdana,8,0"
|
||
|
)
|
||
|
)
|
||
|
archDeclarativeBlock (BdArchDeclBlock
|
||
|
uid 1,0
|
||
|
stg "BdArchDeclBlockLS"
|
||
|
declLabel (Text
|
||
|
uid 2,0
|
||
|
va (VaSet
|
||
|
font "Verdana,8,1"
|
||
|
)
|
||
|
xt "0,41000,7000,42000"
|
||
|
st "Declarations"
|
||
|
blo "0,41800"
|
||
|
)
|
||
|
portLabel (Text
|
||
|
uid 3,0
|
||
|
va (VaSet
|
||
|
font "Verdana,8,1"
|
||
|
)
|
||
|
xt "0,42000,3400,43000"
|
||
|
st "Ports:"
|
||
|
blo "0,42800"
|
||
|
)
|
||
|
preUserLabel (Text
|
||
|
uid 4,0
|
||
|
va (VaSet
|
||
|
font "Verdana,8,1"
|
||
|
)
|
||
|
xt "0,43000,4800,44000"
|
||
|
st "Pre User:"
|
||
|
blo "0,43800"
|
||
|
)
|
||
|
preUserText (MLText
|
||
|
uid 5,0
|
||
|
va (VaSet
|
||
|
font "Verdana,8,0"
|
||
|
)
|
||
|
xt "2000,44000,21800,48000"
|
||
|
st "constant signalBitNb: positive := 16;
|
||
|
constant phaseBitNb: positive := 10;
|
||
|
constant clockFrequency: real := 60.0E6;
|
||
|
--constant clockFrequency: real := 66.0E6;"
|
||
|
tm "BdDeclarativeTextMgr"
|
||
|
)
|
||
|
diagSignalLabel (Text
|
||
|
uid 6,0
|
||
|
va (VaSet
|
||
|
font "Verdana,8,1"
|
||
|
)
|
||
|
xt "0,48000,9000,49000"
|
||
|
st "Diagram Signals:"
|
||
|
blo "0,48800"
|
||
|
)
|
||
|
postUserLabel (Text
|
||
|
uid 7,0
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
font "Verdana,8,1"
|
||
|
)
|
||
|
xt "0,41000,6000,42000"
|
||
|
st "Post User:"
|
||
|
blo "0,41800"
|
||
|
)
|
||
|
postUserText (MLText
|
||
|
uid 8,0
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
font "Verdana,8,0"
|
||
|
)
|
||
|
xt "0,41000,0,41000"
|
||
|
tm "BdDeclarativeTextMgr"
|
||
|
)
|
||
|
)
|
||
|
commonDM (CommonDM
|
||
|
ldm (LogicalDM
|
||
|
suid 8,0
|
||
|
usingSuid 1
|
||
|
emptyRow *72 (LEmptyRow
|
||
|
)
|
||
|
uid 1087,0
|
||
|
optionalChildren [
|
||
|
*73 (RefLabelRowHdr
|
||
|
)
|
||
|
*74 (TitleRowHdr
|
||
|
)
|
||
|
*75 (FilterRowHdr
|
||
|
)
|
||
|
*76 (RefLabelColHdr
|
||
|
tm "RefLabelColHdrMgr"
|
||
|
)
|
||
|
*77 (RowExpandColHdr
|
||
|
tm "RowExpandColHdrMgr"
|
||
|
)
|
||
|
*78 (GroupColHdr
|
||
|
tm "GroupColHdrMgr"
|
||
|
)
|
||
|
*79 (NameColHdr
|
||
|
tm "BlockDiagramNameColHdrMgr"
|
||
|
)
|
||
|
*80 (ModeColHdr
|
||
|
tm "BlockDiagramModeColHdrMgr"
|
||
|
)
|
||
|
*81 (TypeColHdr
|
||
|
tm "BlockDiagramTypeColHdrMgr"
|
||
|
)
|
||
|
*82 (BoundsColHdr
|
||
|
tm "BlockDiagramBoundsColHdrMgr"
|
||
|
)
|
||
|
*83 (InitColHdr
|
||
|
tm "BlockDiagramInitColHdrMgr"
|
||
|
)
|
||
|
*84 (EolColHdr
|
||
|
tm "BlockDiagramEolColHdrMgr"
|
||
|
)
|
||
|
*85 (LeafLogPort
|
||
|
port (LogicalPort
|
||
|
m 4
|
||
|
decl (Decl
|
||
|
n "reset"
|
||
|
t "std_ulogic"
|
||
|
o 2
|
||
|
suid 1,0
|
||
|
)
|
||
|
)
|
||
|
uid 1070,0
|
||
|
)
|
||
|
*86 (LeafLogPort
|
||
|
port (LogicalPort
|
||
|
m 4
|
||
|
decl (Decl
|
||
|
n "clock"
|
||
|
t "std_ulogic"
|
||
|
o 1
|
||
|
suid 2,0
|
||
|
)
|
||
|
)
|
||
|
uid 1072,0
|
||
|
)
|
||
|
*87 (LeafLogPort
|
||
|
port (LogicalPort
|
||
|
m 4
|
||
|
decl (Decl
|
||
|
n "sine"
|
||
|
t "unsigned"
|
||
|
b "(signalBitNb-1 DOWNTO 0)"
|
||
|
o 4
|
||
|
suid 4,0
|
||
|
)
|
||
|
)
|
||
|
uid 1076,0
|
||
|
)
|
||
|
*88 (LeafLogPort
|
||
|
port (LogicalPort
|
||
|
m 4
|
||
|
decl (Decl
|
||
|
n "triangle"
|
||
|
t "unsigned"
|
||
|
b "(signalBitNb-1 DOWNTO 0)"
|
||
|
o 7
|
||
|
suid 5,0
|
||
|
)
|
||
|
)
|
||
|
uid 1078,0
|
||
|
)
|
||
|
*89 (LeafLogPort
|
||
|
port (LogicalPort
|
||
|
m 4
|
||
|
decl (Decl
|
||
|
n "square"
|
||
|
t "unsigned"
|
||
|
b "(signalBitNb-1 DOWNTO 0)"
|
||
|
o 5
|
||
|
suid 6,0
|
||
|
)
|
||
|
)
|
||
|
uid 1080,0
|
||
|
)
|
||
|
*90 (LeafLogPort
|
||
|
port (LogicalPort
|
||
|
m 4
|
||
|
decl (Decl
|
||
|
n "sawtooth"
|
||
|
t "unsigned"
|
||
|
b "(signalBitNb-1 DOWNTO 0)"
|
||
|
o 3
|
||
|
suid 7,0
|
||
|
)
|
||
|
)
|
||
|
uid 1082,0
|
||
|
)
|
||
|
*91 (LeafLogPort
|
||
|
port (LogicalPort
|
||
|
m 4
|
||
|
decl (Decl
|
||
|
n "step"
|
||
|
t "unsigned"
|
||
|
b "(phaseBitNb-1 DOWNTO 0)"
|
||
|
o 6
|
||
|
suid 8,0
|
||
|
)
|
||
|
)
|
||
|
uid 1084,0
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
pdm (PhysicalDM
|
||
|
displayShortBounds 1
|
||
|
editShortBounds 1
|
||
|
uid 1100,0
|
||
|
optionalChildren [
|
||
|
*92 (Sheet
|
||
|
sheetRow (SheetRow
|
||
|
headerVa (MVa
|
||
|
cellColor "49152,49152,49152"
|
||
|
fontColor "0,0,0"
|
||
|
font "Tahoma,10,0"
|
||
|
)
|
||
|
cellVa (MVa
|
||
|
cellColor "65535,65535,65535"
|
||
|
fontColor "0,0,0"
|
||
|
font "Tahoma,10,0"
|
||
|
)
|
||
|
groupVa (MVa
|
||
|
cellColor "39936,56832,65280"
|
||
|
fontColor "0,0,0"
|
||
|
font "Tahoma,10,0"
|
||
|
)
|
||
|
emptyMRCItem *93 (MRCItem
|
||
|
litem &72
|
||
|
pos 7
|
||
|
dimension 20
|
||
|
)
|
||
|
uid 1102,0
|
||
|
optionalChildren [
|
||
|
*94 (MRCItem
|
||
|
litem &73
|
||
|
pos 0
|
||
|
dimension 20
|
||
|
uid 1103,0
|
||
|
)
|
||
|
*95 (MRCItem
|
||
|
litem &74
|
||
|
pos 1
|
||
|
dimension 23
|
||
|
uid 1104,0
|
||
|
)
|
||
|
*96 (MRCItem
|
||
|
litem &75
|
||
|
pos 2
|
||
|
hidden 1
|
||
|
dimension 20
|
||
|
uid 1105,0
|
||
|
)
|
||
|
*97 (MRCItem
|
||
|
litem &85
|
||
|
pos 0
|
||
|
dimension 20
|
||
|
uid 1071,0
|
||
|
)
|
||
|
*98 (MRCItem
|
||
|
litem &86
|
||
|
pos 1
|
||
|
dimension 20
|
||
|
uid 1073,0
|
||
|
)
|
||
|
*99 (MRCItem
|
||
|
litem &87
|
||
|
pos 2
|
||
|
dimension 20
|
||
|
uid 1077,0
|
||
|
)
|
||
|
*100 (MRCItem
|
||
|
litem &88
|
||
|
pos 3
|
||
|
dimension 20
|
||
|
uid 1079,0
|
||
|
)
|
||
|
*101 (MRCItem
|
||
|
litem &89
|
||
|
pos 4
|
||
|
dimension 20
|
||
|
uid 1081,0
|
||
|
)
|
||
|
*102 (MRCItem
|
||
|
litem &90
|
||
|
pos 5
|
||
|
dimension 20
|
||
|
uid 1083,0
|
||
|
)
|
||
|
*103 (MRCItem
|
||
|
litem &91
|
||
|
pos 6
|
||
|
dimension 20
|
||
|
uid 1085,0
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
sheetCol (SheetCol
|
||
|
propVa (MVa
|
||
|
cellColor "0,49152,49152"
|
||
|
fontColor "0,0,0"
|
||
|
font "Tahoma,10,0"
|
||
|
textAngle 90
|
||
|
)
|
||
|
uid 1106,0
|
||
|
optionalChildren [
|
||
|
*104 (MRCItem
|
||
|
litem &76
|
||
|
pos 0
|
||
|
dimension 20
|
||
|
uid 1107,0
|
||
|
)
|
||
|
*105 (MRCItem
|
||
|
litem &78
|
||
|
pos 1
|
||
|
dimension 50
|
||
|
uid 1108,0
|
||
|
)
|
||
|
*106 (MRCItem
|
||
|
litem &79
|
||
|
pos 2
|
||
|
dimension 100
|
||
|
uid 1109,0
|
||
|
)
|
||
|
*107 (MRCItem
|
||
|
litem &80
|
||
|
pos 3
|
||
|
dimension 50
|
||
|
uid 1110,0
|
||
|
)
|
||
|
*108 (MRCItem
|
||
|
litem &81
|
||
|
pos 4
|
||
|
dimension 100
|
||
|
uid 1111,0
|
||
|
)
|
||
|
*109 (MRCItem
|
||
|
litem &82
|
||
|
pos 5
|
||
|
dimension 100
|
||
|
uid 1112,0
|
||
|
)
|
||
|
*110 (MRCItem
|
||
|
litem &83
|
||
|
pos 6
|
||
|
dimension 50
|
||
|
uid 1113,0
|
||
|
)
|
||
|
*111 (MRCItem
|
||
|
litem &84
|
||
|
pos 7
|
||
|
dimension 80
|
||
|
uid 1114,0
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
fixedCol 4
|
||
|
fixedRow 2
|
||
|
name "Ports"
|
||
|
uid 1101,0
|
||
|
vaOverrides [
|
||
|
]
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
uid 1086,0
|
||
|
)
|
||
|
genericsCommonDM (CommonDM
|
||
|
ldm (LogicalDM
|
||
|
emptyRow *112 (LEmptyRow
|
||
|
)
|
||
|
uid 1116,0
|
||
|
optionalChildren [
|
||
|
*113 (RefLabelRowHdr
|
||
|
)
|
||
|
*114 (TitleRowHdr
|
||
|
)
|
||
|
*115 (FilterRowHdr
|
||
|
)
|
||
|
*116 (RefLabelColHdr
|
||
|
tm "RefLabelColHdrMgr"
|
||
|
)
|
||
|
*117 (RowExpandColHdr
|
||
|
tm "RowExpandColHdrMgr"
|
||
|
)
|
||
|
*118 (GroupColHdr
|
||
|
tm "GroupColHdrMgr"
|
||
|
)
|
||
|
*119 (NameColHdr
|
||
|
tm "GenericNameColHdrMgr"
|
||
|
)
|
||
|
*120 (TypeColHdr
|
||
|
tm "GenericTypeColHdrMgr"
|
||
|
)
|
||
|
*121 (InitColHdr
|
||
|
tm "GenericValueColHdrMgr"
|
||
|
)
|
||
|
*122 (PragmaColHdr
|
||
|
tm "GenericPragmaColHdrMgr"
|
||
|
)
|
||
|
*123 (EolColHdr
|
||
|
tm "GenericEolColHdrMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
pdm (PhysicalDM
|
||
|
uid 1128,0
|
||
|
optionalChildren [
|
||
|
*124 (Sheet
|
||
|
sheetRow (SheetRow
|
||
|
headerVa (MVa
|
||
|
cellColor "49152,49152,49152"
|
||
|
fontColor "0,0,0"
|
||
|
font "Tahoma,10,0"
|
||
|
)
|
||
|
cellVa (MVa
|
||
|
cellColor "65535,65535,65535"
|
||
|
fontColor "0,0,0"
|
||
|
font "Tahoma,10,0"
|
||
|
)
|
||
|
groupVa (MVa
|
||
|
cellColor "39936,56832,65280"
|
||
|
fontColor "0,0,0"
|
||
|
font "Tahoma,10,0"
|
||
|
)
|
||
|
emptyMRCItem *125 (MRCItem
|
||
|
litem &112
|
||
|
pos 0
|
||
|
dimension 20
|
||
|
)
|
||
|
uid 1130,0
|
||
|
optionalChildren [
|
||
|
*126 (MRCItem
|
||
|
litem &113
|
||
|
pos 0
|
||
|
dimension 20
|
||
|
uid 1131,0
|
||
|
)
|
||
|
*127 (MRCItem
|
||
|
litem &114
|
||
|
pos 1
|
||
|
dimension 23
|
||
|
uid 1132,0
|
||
|
)
|
||
|
*128 (MRCItem
|
||
|
litem &115
|
||
|
pos 2
|
||
|
hidden 1
|
||
|
dimension 20
|
||
|
uid 1133,0
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
sheetCol (SheetCol
|
||
|
propVa (MVa
|
||
|
cellColor "0,49152,49152"
|
||
|
fontColor "0,0,0"
|
||
|
font "Tahoma,10,0"
|
||
|
textAngle 90
|
||
|
)
|
||
|
uid 1134,0
|
||
|
optionalChildren [
|
||
|
*129 (MRCItem
|
||
|
litem &116
|
||
|
pos 0
|
||
|
dimension 20
|
||
|
uid 1135,0
|
||
|
)
|
||
|
*130 (MRCItem
|
||
|
litem &118
|
||
|
pos 1
|
||
|
dimension 50
|
||
|
uid 1136,0
|
||
|
)
|
||
|
*131 (MRCItem
|
||
|
litem &119
|
||
|
pos 2
|
||
|
dimension 100
|
||
|
uid 1137,0
|
||
|
)
|
||
|
*132 (MRCItem
|
||
|
litem &120
|
||
|
pos 3
|
||
|
dimension 100
|
||
|
uid 1138,0
|
||
|
)
|
||
|
*133 (MRCItem
|
||
|
litem &121
|
||
|
pos 4
|
||
|
dimension 50
|
||
|
uid 1139,0
|
||
|
)
|
||
|
*134 (MRCItem
|
||
|
litem &122
|
||
|
pos 5
|
||
|
dimension 50
|
||
|
uid 1140,0
|
||
|
)
|
||
|
*135 (MRCItem
|
||
|
litem &123
|
||
|
pos 6
|
||
|
dimension 80
|
||
|
uid 1141,0
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
fixedCol 3
|
||
|
fixedRow 2
|
||
|
name "Ports"
|
||
|
uid 1129,0
|
||
|
vaOverrides [
|
||
|
]
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
uid 1115,0
|
||
|
type 1
|
||
|
)
|
||
|
activeModelName "BlockDiag"
|
||
|
)
|