2821 lines
35 KiB
Plaintext
2821 lines
35 KiB
Plaintext
|
DocumentHdrVersion "1.1"
|
||
|
Header (DocumentHdr
|
||
|
version 2
|
||
|
dialect 11
|
||
|
dmPackageRefs [
|
||
|
(DmPackageRef
|
||
|
library "ieee"
|
||
|
unitName "std_logic_1164"
|
||
|
)
|
||
|
(DmPackageRef
|
||
|
library "ieee"
|
||
|
unitName "numeric_std"
|
||
|
)
|
||
|
]
|
||
|
instances [
|
||
|
(Instance
|
||
|
name "U_tester"
|
||
|
duLibraryName "HEIRV32_test"
|
||
|
duName "heirv32_sc_tester"
|
||
|
elements [
|
||
|
(GiElement
|
||
|
name "c_btnsNb"
|
||
|
type "positive"
|
||
|
value "c_btnsNb"
|
||
|
)
|
||
|
]
|
||
|
mwi 0
|
||
|
uid 143,0
|
||
|
)
|
||
|
(Instance
|
||
|
name "U_heirv32"
|
||
|
duLibraryName "HEIRV32_SC"
|
||
|
duName "heirv32_sc"
|
||
|
elements [
|
||
|
(GiElement
|
||
|
name "g_programFile"
|
||
|
type "string"
|
||
|
value "\"$SIMULATION_DIR/code_sim_bram.txt\""
|
||
|
)
|
||
|
(GiElement
|
||
|
name "g_btnsNb"
|
||
|
type "positive"
|
||
|
value "c_btnsNb"
|
||
|
)
|
||
|
(GiElement
|
||
|
name "g_dataWidth"
|
||
|
type "positive"
|
||
|
value "32"
|
||
|
)
|
||
|
(GiElement
|
||
|
name "g_memoryNbBits"
|
||
|
type "positive"
|
||
|
value "7"
|
||
|
)
|
||
|
]
|
||
|
mwi 0
|
||
|
uid 248,0
|
||
|
)
|
||
|
]
|
||
|
libraryRefs [
|
||
|
"ieee"
|
||
|
]
|
||
|
)
|
||
|
version "32.1"
|
||
|
appVersion "2019.2 (Build 5)"
|
||
|
noEmbeddedEditors 1
|
||
|
model (BlockDiag
|
||
|
VExpander (VariableExpander
|
||
|
vvMap [
|
||
|
(vvPair
|
||
|
variable "HDLDir"
|
||
|
value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hdl"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "HDSDir"
|
||
|
value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "SideDataDesignDir"
|
||
|
value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tb\\struct.bd.info"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "SideDataUserDir"
|
||
|
value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tb\\struct.bd.user"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "SourceDir"
|
||
|
value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "appl"
|
||
|
value "HDL Designer"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "arch_name"
|
||
|
value "struct"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "concat_file"
|
||
|
value "concatenated"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "config"
|
||
|
value "%(unit)_%(view)_config"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "d"
|
||
|
value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tb"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "d_logical"
|
||
|
value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tb"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "date"
|
||
|
value "04.11.2022"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "day"
|
||
|
value "ven."
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "day_long"
|
||
|
value "vendredi"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "dd"
|
||
|
value "04"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "entity_name"
|
||
|
value "heirv32_sc_tb"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "ext"
|
||
|
value "<TBD>"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "f"
|
||
|
value "struct.bd"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "f_logical"
|
||
|
value "struct.bd"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "f_noext"
|
||
|
value "struct"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "graphical_source_author"
|
||
|
value "axel.amand"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "graphical_source_date"
|
||
|
value "04.11.2022"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "graphical_source_group"
|
||
|
value "UNKNOWN"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "graphical_source_host"
|
||
|
value "WE7860"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "graphical_source_time"
|
||
|
value "11:37:15"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "group"
|
||
|
value "UNKNOWN"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "host"
|
||
|
value "WE7860"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "language"
|
||
|
value "VHDL"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "library"
|
||
|
value "HEIRV32_test"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "library_downstream_Concatenation"
|
||
|
value "$HDS_PROJECT_DIR/../RiscV/HEIRV32_test/concat"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "library_downstream_ModelSimCompiler"
|
||
|
value "$SCRATCH_DIR/CAr//RiscV_test/HEIRV32_test/work"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "mm"
|
||
|
value "11"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "module_name"
|
||
|
value "heirv32_sc_tb"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "month"
|
||
|
value "nov."
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "month_long"
|
||
|
value "novembre"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "p"
|
||
|
value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tb\\struct.bd"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "p_logical"
|
||
|
value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tb\\struct.bd"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "package_name"
|
||
|
value "<Undefined Variable>"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "project_name"
|
||
|
value "hds"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "series"
|
||
|
value "HDL Designer Series"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "this_ext"
|
||
|
value "bd"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "this_file"
|
||
|
value "struct"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "this_file_logical"
|
||
|
value "struct"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "time"
|
||
|
value "11:37:15"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "unit"
|
||
|
value "heirv32_sc_tb"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "user"
|
||
|
value "axel.amand"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "version"
|
||
|
value "2019.2 (Build 5)"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "view"
|
||
|
value "struct"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "year"
|
||
|
value "2022"
|
||
|
)
|
||
|
(vvPair
|
||
|
variable "yy"
|
||
|
value "22"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
LanguageMgr "Vhdl2008LangMgr"
|
||
|
uid 52,0
|
||
|
optionalChildren [
|
||
|
*1 (Grouping
|
||
|
uid 9,0
|
||
|
optionalChildren [
|
||
|
*2 (CommentText
|
||
|
uid 11,0
|
||
|
shape (Rectangle
|
||
|
uid 12,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "55000,4000,72000,5000"
|
||
|
)
|
||
|
oxt "18000,70000,35000,71000"
|
||
|
text (MLText
|
||
|
uid 13,0
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
bg "0,0,32768"
|
||
|
font "Arial,8,0"
|
||
|
)
|
||
|
xt "55200,4000,66700,5000"
|
||
|
st "
|
||
|
by %user on %dd %month %year
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 1000
|
||
|
visibleWidth 17000
|
||
|
)
|
||
|
position 1
|
||
|
ignorePrefs 1
|
||
|
titleBlock 1
|
||
|
)
|
||
|
*3 (CommentText
|
||
|
uid 14,0
|
||
|
shape (Rectangle
|
||
|
uid 15,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "72000,0,76000,1000"
|
||
|
)
|
||
|
oxt "35000,66000,39000,67000"
|
||
|
text (MLText
|
||
|
uid 16,0
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
bg "0,0,32768"
|
||
|
font "Arial,8,0"
|
||
|
)
|
||
|
xt "72200,0,75200,1000"
|
||
|
st "
|
||
|
Project:
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 1000
|
||
|
visibleWidth 4000
|
||
|
)
|
||
|
position 1
|
||
|
ignorePrefs 1
|
||
|
titleBlock 1
|
||
|
)
|
||
|
*4 (CommentText
|
||
|
uid 17,0
|
||
|
shape (Rectangle
|
||
|
uid 18,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "55000,2000,72000,3000"
|
||
|
)
|
||
|
oxt "18000,68000,35000,69000"
|
||
|
text (MLText
|
||
|
uid 19,0
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
bg "0,0,32768"
|
||
|
font "Arial,8,0"
|
||
|
)
|
||
|
xt "55200,2000,65200,3000"
|
||
|
st "
|
||
|
<enter diagram title here>
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 1000
|
||
|
visibleWidth 17000
|
||
|
)
|
||
|
position 1
|
||
|
ignorePrefs 1
|
||
|
titleBlock 1
|
||
|
)
|
||
|
*5 (CommentText
|
||
|
uid 20,0
|
||
|
shape (Rectangle
|
||
|
uid 21,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "51000,2000,55000,3000"
|
||
|
)
|
||
|
oxt "14000,68000,18000,69000"
|
||
|
text (MLText
|
||
|
uid 22,0
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
bg "0,0,32768"
|
||
|
font "Arial,8,0"
|
||
|
)
|
||
|
xt "51200,2000,53300,3000"
|
||
|
st "
|
||
|
Title:
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 1000
|
||
|
visibleWidth 4000
|
||
|
)
|
||
|
position 1
|
||
|
ignorePrefs 1
|
||
|
titleBlock 1
|
||
|
)
|
||
|
*6 (CommentText
|
||
|
uid 23,0
|
||
|
shape (Rectangle
|
||
|
uid 24,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "72000,1000,92000,5000"
|
||
|
)
|
||
|
oxt "35000,67000,55000,71000"
|
||
|
text (MLText
|
||
|
uid 25,0
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
bg "0,0,32768"
|
||
|
font "Arial,8,0"
|
||
|
)
|
||
|
xt "72200,1200,81600,2200"
|
||
|
st "
|
||
|
<enter comments here>
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 4000
|
||
|
visibleWidth 20000
|
||
|
)
|
||
|
ignorePrefs 1
|
||
|
titleBlock 1
|
||
|
)
|
||
|
*7 (CommentText
|
||
|
uid 26,0
|
||
|
shape (Rectangle
|
||
|
uid 27,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "76000,0,92000,1000"
|
||
|
)
|
||
|
oxt "39000,66000,55000,67000"
|
||
|
text (MLText
|
||
|
uid 28,0
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
bg "0,0,32768"
|
||
|
font "Arial,8,0"
|
||
|
)
|
||
|
xt "76200,0,77800,1000"
|
||
|
st "
|
||
|
%project_name
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 1000
|
||
|
visibleWidth 16000
|
||
|
)
|
||
|
position 1
|
||
|
ignorePrefs 1
|
||
|
titleBlock 1
|
||
|
)
|
||
|
*8 (CommentText
|
||
|
uid 29,0
|
||
|
shape (Rectangle
|
||
|
uid 30,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "51000,0,72000,2000"
|
||
|
)
|
||
|
oxt "14000,66000,35000,68000"
|
||
|
text (MLText
|
||
|
uid 31,0
|
||
|
va (VaSet
|
||
|
fg "32768,0,0"
|
||
|
)
|
||
|
xt "56350,400,66650,1600"
|
||
|
st "
|
||
|
<company name>
|
||
|
"
|
||
|
ju 0
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 2000
|
||
|
visibleWidth 21000
|
||
|
)
|
||
|
position 1
|
||
|
ignorePrefs 1
|
||
|
titleBlock 1
|
||
|
)
|
||
|
*9 (CommentText
|
||
|
uid 32,0
|
||
|
shape (Rectangle
|
||
|
uid 33,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "51000,3000,55000,4000"
|
||
|
)
|
||
|
oxt "14000,69000,18000,70000"
|
||
|
text (MLText
|
||
|
uid 34,0
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
bg "0,0,32768"
|
||
|
font "Arial,8,0"
|
||
|
)
|
||
|
xt "51200,3000,53300,4000"
|
||
|
st "
|
||
|
Path:
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 1000
|
||
|
visibleWidth 4000
|
||
|
)
|
||
|
position 1
|
||
|
ignorePrefs 1
|
||
|
titleBlock 1
|
||
|
)
|
||
|
*10 (CommentText
|
||
|
uid 35,0
|
||
|
shape (Rectangle
|
||
|
uid 36,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "51000,4000,55000,5000"
|
||
|
)
|
||
|
oxt "14000,70000,18000,71000"
|
||
|
text (MLText
|
||
|
uid 37,0
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
bg "0,0,32768"
|
||
|
font "Arial,8,0"
|
||
|
)
|
||
|
xt "51200,4000,53900,5000"
|
||
|
st "
|
||
|
Edited:
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 1000
|
||
|
visibleWidth 4000
|
||
|
)
|
||
|
position 1
|
||
|
ignorePrefs 1
|
||
|
titleBlock 1
|
||
|
)
|
||
|
*11 (CommentText
|
||
|
uid 38,0
|
||
|
shape (Rectangle
|
||
|
uid 39,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
)
|
||
|
xt "55000,3000,72000,4000"
|
||
|
)
|
||
|
oxt "18000,69000,35000,70000"
|
||
|
text (MLText
|
||
|
uid 40,0
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
bg "0,0,32768"
|
||
|
font "Arial,8,0"
|
||
|
)
|
||
|
xt "55200,3000,68200,4000"
|
||
|
st "
|
||
|
%library/%unit/%view
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 1000
|
||
|
visibleWidth 17000
|
||
|
)
|
||
|
position 1
|
||
|
ignorePrefs 1
|
||
|
titleBlock 1
|
||
|
)
|
||
|
]
|
||
|
shape (GroupingShape
|
||
|
uid 10,0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
lineStyle 2
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "51000,0,92000,5000"
|
||
|
)
|
||
|
oxt "14000,66000,55000,71000"
|
||
|
)
|
||
|
*12 (Blk
|
||
|
uid 143,0
|
||
|
shape (Rectangle
|
||
|
uid 144,0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "39936,56832,65280"
|
||
|
lineColor "0,0,32768"
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "7000,30000,58000,40000"
|
||
|
)
|
||
|
ttg (MlTextGroup
|
||
|
uid 145,0
|
||
|
ps "CenterOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*13 (Text
|
||
|
uid 146,0
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "7850,33200,16150,34400"
|
||
|
st "HEIRV32_test"
|
||
|
blo "7850,34200"
|
||
|
tm "BdLibraryNameMgr"
|
||
|
)
|
||
|
*14 (Text
|
||
|
uid 147,0
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "7850,34400,18050,35600"
|
||
|
st "heirv32_sc_tester"
|
||
|
blo "7850,35400"
|
||
|
tm "BlkNameMgr"
|
||
|
)
|
||
|
*15 (Text
|
||
|
uid 148,0
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "7850,35600,12850,36800"
|
||
|
st "U_tester"
|
||
|
blo "7850,36600"
|
||
|
tm "InstanceNameMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
ga (GenericAssociation
|
||
|
uid 149,0
|
||
|
ps "EdgeToEdgeStrategy"
|
||
|
matrix (Matrix
|
||
|
uid 150,0
|
||
|
text (MLText
|
||
|
uid 151,0
|
||
|
va (VaSet
|
||
|
font "Courier New,8,0"
|
||
|
)
|
||
|
xt "7850,43200,27850,44000"
|
||
|
st "c_btnsNb = c_btnsNb ( positive ) "
|
||
|
)
|
||
|
header ""
|
||
|
)
|
||
|
elements [
|
||
|
(GiElement
|
||
|
name "c_btnsNb"
|
||
|
type "positive"
|
||
|
value "c_btnsNb"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
viewicon (ZoomableIcon
|
||
|
uid 152,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "49152,49152,49152"
|
||
|
)
|
||
|
xt "7250,38250,8750,39750"
|
||
|
iconName "VhdlFileViewIcon.png"
|
||
|
iconMaskName "VhdlFileViewIcon.msk"
|
||
|
ftype 10
|
||
|
)
|
||
|
viewiconposition 0
|
||
|
)
|
||
|
*16 (Net
|
||
|
uid 153,0
|
||
|
lang 11
|
||
|
decl (Decl
|
||
|
n "en"
|
||
|
t "std_ulogic"
|
||
|
o 1
|
||
|
suid 1,0
|
||
|
)
|
||
|
declText (MLText
|
||
|
uid 154,0
|
||
|
va (VaSet
|
||
|
font "Courier New,8,0"
|
||
|
)
|
||
|
xt "22000,7200,35500,8000"
|
||
|
st "SIGNAL en : std_ulogic"
|
||
|
)
|
||
|
)
|
||
|
*17 (Net
|
||
|
uid 161,0
|
||
|
lang 11
|
||
|
decl (Decl
|
||
|
n "rst"
|
||
|
t "std_ulogic"
|
||
|
o 2
|
||
|
suid 2,0
|
||
|
)
|
||
|
declText (MLText
|
||
|
uid 162,0
|
||
|
va (VaSet
|
||
|
font "Courier New,8,0"
|
||
|
)
|
||
|
xt "22000,8000,35500,8800"
|
||
|
st "SIGNAL rst : std_ulogic"
|
||
|
)
|
||
|
)
|
||
|
*18 (Net
|
||
|
uid 169,0
|
||
|
lang 11
|
||
|
decl (Decl
|
||
|
n "clk"
|
||
|
t "std_ulogic"
|
||
|
o 3
|
||
|
suid 3,0
|
||
|
)
|
||
|
declText (MLText
|
||
|
uid 170,0
|
||
|
va (VaSet
|
||
|
font "Courier New,8,0"
|
||
|
)
|
||
|
xt "22000,6400,35500,7200"
|
||
|
st "SIGNAL clk : std_ulogic"
|
||
|
)
|
||
|
)
|
||
|
*19 (Net
|
||
|
uid 177,0
|
||
|
lang 11
|
||
|
decl (Decl
|
||
|
n "btns"
|
||
|
t "std_ulogic_vector"
|
||
|
b "(c_btnsNb-1 DOWNTO 0)"
|
||
|
o 4
|
||
|
suid 4,0
|
||
|
)
|
||
|
declText (MLText
|
||
|
uid 178,0
|
||
|
va (VaSet
|
||
|
font "Courier New,8,0"
|
||
|
)
|
||
|
xt "22000,5600,50000,6400"
|
||
|
st "SIGNAL btns : std_ulogic_vector(c_btnsNb-1 DOWNTO 0)"
|
||
|
)
|
||
|
)
|
||
|
*20 (SaComponent
|
||
|
uid 248,0
|
||
|
optionalChildren [
|
||
|
*21 (CptPort
|
||
|
uid 224,0
|
||
|
ps "OnEdgeStrategy"
|
||
|
shape (Triangle
|
||
|
uid 225,0
|
||
|
ro 90
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
)
|
||
|
xt "17250,18625,18000,19375"
|
||
|
)
|
||
|
tg (CPTG
|
||
|
uid 226,0
|
||
|
ps "CptPortTextPlaceStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
f (Text
|
||
|
uid 227,0
|
||
|
va (VaSet
|
||
|
font "Verdana,12,0"
|
||
|
)
|
||
|
xt "19000,18300,21500,19700"
|
||
|
st "rst"
|
||
|
blo "19000,19500"
|
||
|
)
|
||
|
)
|
||
|
thePort (LogicalPort
|
||
|
lang 11
|
||
|
decl (Decl
|
||
|
n "rst"
|
||
|
t "std_ulogic"
|
||
|
o 4
|
||
|
suid 3,0
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
*22 (CptPort
|
||
|
uid 228,0
|
||
|
ps "OnEdgeStrategy"
|
||
|
shape (Triangle
|
||
|
uid 229,0
|
||
|
ro 90
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
)
|
||
|
xt "17250,20625,18000,21375"
|
||
|
)
|
||
|
tg (CPTG
|
||
|
uid 230,0
|
||
|
ps "CptPortTextPlaceStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
f (Text
|
||
|
uid 231,0
|
||
|
va (VaSet
|
||
|
font "Verdana,12,0"
|
||
|
)
|
||
|
xt "19000,20300,21400,21700"
|
||
|
st "clk"
|
||
|
blo "19000,21500"
|
||
|
)
|
||
|
)
|
||
|
thePort (LogicalPort
|
||
|
lang 11
|
||
|
decl (Decl
|
||
|
n "clk"
|
||
|
t "std_ulogic"
|
||
|
o 2
|
||
|
suid 4,0
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
*23 (CptPort
|
||
|
uid 232,0
|
||
|
ps "OnEdgeStrategy"
|
||
|
shape (Triangle
|
||
|
uid 233,0
|
||
|
ro 90
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
)
|
||
|
xt "34000,16625,34750,17375"
|
||
|
)
|
||
|
tg (CPTG
|
||
|
uid 234,0
|
||
|
ps "CptPortTextPlaceStrategy"
|
||
|
stg "RightVerticalLayoutStrategy"
|
||
|
f (Text
|
||
|
uid 235,0
|
||
|
va (VaSet
|
||
|
font "Verdana,12,0"
|
||
|
)
|
||
|
xt "26400,16300,33000,17700"
|
||
|
st "dbg_leds"
|
||
|
ju 2
|
||
|
blo "33000,17500"
|
||
|
)
|
||
|
)
|
||
|
thePort (LogicalPort
|
||
|
lang 11
|
||
|
m 1
|
||
|
decl (Decl
|
||
|
n "dbg_leds"
|
||
|
t "std_ulogic_vector"
|
||
|
b "(31 DOWNTO 0)"
|
||
|
o 5
|
||
|
suid 6,0
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
*24 (CptPort
|
||
|
uid 236,0
|
||
|
ps "OnEdgeStrategy"
|
||
|
shape (Triangle
|
||
|
uid 237,0
|
||
|
ro 90
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
)
|
||
|
xt "17250,16625,18000,17375"
|
||
|
)
|
||
|
tg (CPTG
|
||
|
uid 238,0
|
||
|
ps "CptPortTextPlaceStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
f (Text
|
||
|
uid 239,0
|
||
|
va (VaSet
|
||
|
font "Verdana,12,0"
|
||
|
)
|
||
|
xt "19000,16300,21400,17700"
|
||
|
st "en"
|
||
|
blo "19000,17500"
|
||
|
)
|
||
|
)
|
||
|
thePort (LogicalPort
|
||
|
lang 11
|
||
|
decl (Decl
|
||
|
n "en"
|
||
|
t "std_ulogic"
|
||
|
o 3
|
||
|
suid 7,0
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
*25 (CptPort
|
||
|
uid 240,0
|
||
|
ps "OnEdgeStrategy"
|
||
|
shape (Triangle
|
||
|
uid 241,0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
)
|
||
|
xt "22625,24000,23375,24750"
|
||
|
)
|
||
|
tg (CPTG
|
||
|
uid 242,0
|
||
|
ps "CptPortTextPlaceStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
f (Text
|
||
|
uid 243,0
|
||
|
ro 270
|
||
|
va (VaSet
|
||
|
font "Verdana,12,0"
|
||
|
)
|
||
|
xt "22300,19400,23700,23000"
|
||
|
st "btns"
|
||
|
blo "23500,23000"
|
||
|
)
|
||
|
)
|
||
|
thePort (LogicalPort
|
||
|
lang 11
|
||
|
decl (Decl
|
||
|
n "btns"
|
||
|
t "std_ulogic_vector"
|
||
|
b "(g_btnsNb-1 DOWNTO 0)"
|
||
|
o 1
|
||
|
suid 8,0
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
*26 (CptPort
|
||
|
uid 244,0
|
||
|
ps "OnEdgeStrategy"
|
||
|
shape (Triangle
|
||
|
uid 245,0
|
||
|
ro 180
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
)
|
||
|
xt "24625,24000,25375,24750"
|
||
|
)
|
||
|
tg (CPTG
|
||
|
uid 246,0
|
||
|
ps "CptPortTextPlaceStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
f (Text
|
||
|
uid 247,0
|
||
|
ro 270
|
||
|
va (VaSet
|
||
|
font "Verdana,12,0"
|
||
|
)
|
||
|
xt "24300,19600,25700,23000"
|
||
|
st "leds"
|
||
|
blo "25500,23000"
|
||
|
)
|
||
|
)
|
||
|
thePort (LogicalPort
|
||
|
lang 11
|
||
|
m 1
|
||
|
decl (Decl
|
||
|
n "leds"
|
||
|
t "std_ulogic_vector"
|
||
|
b "(g_dataWidth-1 DOWNTO 0)"
|
||
|
o 6
|
||
|
suid 9,0
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
]
|
||
|
shape (Rectangle
|
||
|
uid 249,0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
lineColor "0,32896,0"
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "18000,16000,34000,24000"
|
||
|
)
|
||
|
oxt "19000,25000,35000,33000"
|
||
|
ttg (MlTextGroup
|
||
|
uid 250,0
|
||
|
ps "CenterOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*27 (Text
|
||
|
uid 251,0
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "26800,19800,34200,21000"
|
||
|
st "HEIRV32_SC"
|
||
|
blo "26800,20800"
|
||
|
tm "BdLibraryNameMgr"
|
||
|
)
|
||
|
*28 (Text
|
||
|
uid 252,0
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "26800,21000,33300,22200"
|
||
|
st "heirv32_sc"
|
||
|
blo "26800,22000"
|
||
|
tm "CptNameMgr"
|
||
|
)
|
||
|
*29 (Text
|
||
|
uid 253,0
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "26800,22200,32400,23400"
|
||
|
st "U_heirv32"
|
||
|
blo "26800,23200"
|
||
|
tm "InstanceNameMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
ga (GenericAssociation
|
||
|
uid 254,0
|
||
|
ps "EdgeToEdgeStrategy"
|
||
|
matrix (Matrix
|
||
|
uid 255,0
|
||
|
text (MLText
|
||
|
uid 256,0
|
||
|
va (VaSet
|
||
|
font "Courier New,8,0"
|
||
|
)
|
||
|
xt "28000,25200,65000,28400"
|
||
|
st "g_programFile = \"$SIMULATION_DIR/code_sim_bram.txt\" ( string )
|
||
|
g_btnsNb = c_btnsNb ( positive )
|
||
|
g_dataWidth = 32 ( positive )
|
||
|
g_memoryNbBits = 7 ( positive )
|
||
|
"
|
||
|
)
|
||
|
header ""
|
||
|
)
|
||
|
elements [
|
||
|
(GiElement
|
||
|
name "g_programFile"
|
||
|
type "string"
|
||
|
value "\"$SIMULATION_DIR/code_sim_bram.txt\""
|
||
|
)
|
||
|
(GiElement
|
||
|
name "g_btnsNb"
|
||
|
type "positive"
|
||
|
value "c_btnsNb"
|
||
|
)
|
||
|
(GiElement
|
||
|
name "g_dataWidth"
|
||
|
type "positive"
|
||
|
value "32"
|
||
|
)
|
||
|
(GiElement
|
||
|
name "g_memoryNbBits"
|
||
|
type "positive"
|
||
|
value "7"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
viewicon (ZoomableIcon
|
||
|
uid 257,0
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "49152,49152,49152"
|
||
|
)
|
||
|
xt "18250,22250,19750,23750"
|
||
|
iconName "BlockDiagram.png"
|
||
|
iconMaskName "BlockDiagram.msk"
|
||
|
ftype 1
|
||
|
)
|
||
|
viewiconposition 0
|
||
|
portVis (PortSigDisplay
|
||
|
sTC 0
|
||
|
sIVOD 1
|
||
|
)
|
||
|
archFileType "UNKNOWN"
|
||
|
)
|
||
|
*30 (Wire
|
||
|
uid 155,0
|
||
|
shape (OrthoPolyLine
|
||
|
uid 156,0
|
||
|
va (VaSet
|
||
|
vasetType 3
|
||
|
)
|
||
|
xt "8000,17000,17250,30000"
|
||
|
pts [
|
||
|
"17250,17000"
|
||
|
"8000,17000"
|
||
|
"8000,30000"
|
||
|
]
|
||
|
)
|
||
|
start &24
|
||
|
end &12
|
||
|
sat 32
|
||
|
eat 2
|
||
|
stc 0
|
||
|
st 0
|
||
|
sf 1
|
||
|
tg (WTG
|
||
|
uid 159,0
|
||
|
ps "ConnStartEndStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
uid 160,0
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "14250,15800,16150,17000"
|
||
|
st "en"
|
||
|
blo "14250,16800"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
on &16
|
||
|
)
|
||
|
*31 (Wire
|
||
|
uid 163,0
|
||
|
shape (OrthoPolyLine
|
||
|
uid 164,0
|
||
|
va (VaSet
|
||
|
vasetType 3
|
||
|
)
|
||
|
xt "10000,19000,17250,30000"
|
||
|
pts [
|
||
|
"17250,19000"
|
||
|
"10000,19000"
|
||
|
"10000,30000"
|
||
|
]
|
||
|
)
|
||
|
start &21
|
||
|
end &12
|
||
|
sat 32
|
||
|
eat 2
|
||
|
stc 0
|
||
|
st 0
|
||
|
sf 1
|
||
|
tg (WTG
|
||
|
uid 167,0
|
||
|
ps "ConnStartEndStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
uid 168,0
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "14250,17800,16350,19000"
|
||
|
st "rst"
|
||
|
blo "14250,18800"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
on &17
|
||
|
)
|
||
|
*32 (Wire
|
||
|
uid 171,0
|
||
|
shape (OrthoPolyLine
|
||
|
uid 172,0
|
||
|
va (VaSet
|
||
|
vasetType 3
|
||
|
)
|
||
|
xt "12000,21000,17250,30000"
|
||
|
pts [
|
||
|
"17250,21000"
|
||
|
"12000,21000"
|
||
|
"12000,30000"
|
||
|
]
|
||
|
)
|
||
|
start &22
|
||
|
end &12
|
||
|
sat 32
|
||
|
eat 2
|
||
|
stc 0
|
||
|
st 0
|
||
|
sf 1
|
||
|
tg (WTG
|
||
|
uid 175,0
|
||
|
ps "ConnStartEndStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
uid 176,0
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "14250,19800,16450,21000"
|
||
|
st "clk"
|
||
|
blo "14250,20800"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
on &18
|
||
|
)
|
||
|
*33 (Wire
|
||
|
uid 179,0
|
||
|
shape (OrthoPolyLine
|
||
|
uid 180,0
|
||
|
va (VaSet
|
||
|
vasetType 3
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "23000,24750,23000,30000"
|
||
|
pts [
|
||
|
"23000,30000"
|
||
|
"23000,24750"
|
||
|
]
|
||
|
)
|
||
|
start &12
|
||
|
end &25
|
||
|
sat 2
|
||
|
eat 32
|
||
|
sty 1
|
||
|
stc 0
|
||
|
st 0
|
||
|
sf 1
|
||
|
tg (WTG
|
||
|
uid 183,0
|
||
|
ps "ConnStartEndStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
uid 184,0
|
||
|
ro 270
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "21800,26100,23000,29000"
|
||
|
st "btns"
|
||
|
blo "22800,29000"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
on &19
|
||
|
)
|
||
|
]
|
||
|
bg "65535,65535,65535"
|
||
|
grid (Grid
|
||
|
origin "0,0"
|
||
|
isVisible 0
|
||
|
isActive 1
|
||
|
xSpacing 1000
|
||
|
xySpacing 1000
|
||
|
xShown 1
|
||
|
yShown 1
|
||
|
color "26368,26368,26368"
|
||
|
)
|
||
|
packageList *34 (PackageList
|
||
|
uid 41,0
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*35 (Text
|
||
|
uid 42,0
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "0,0,7600,1200"
|
||
|
st "Package List"
|
||
|
blo "0,1000"
|
||
|
)
|
||
|
*36 (MLText
|
||
|
uid 43,0
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,1200,17500,4800"
|
||
|
st "LIBRARY ieee;
|
||
|
USE ieee.std_logic_1164.all;
|
||
|
USE ieee.numeric_std.all;"
|
||
|
tm "PackageList"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
compDirBlock (MlTextGroup
|
||
|
uid 44,0
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*37 (Text
|
||
|
uid 45,0
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "20000,0,30800,1200"
|
||
|
st "Compiler Directives"
|
||
|
blo "20000,1000"
|
||
|
)
|
||
|
*38 (Text
|
||
|
uid 46,0
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "20000,1200,33100,2400"
|
||
|
st "Pre-module directives:"
|
||
|
blo "20000,2200"
|
||
|
)
|
||
|
*39 (MLText
|
||
|
uid 47,0
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
)
|
||
|
xt "20000,2400,32100,4800"
|
||
|
st "`resetall
|
||
|
`timescale 1ns/10ps"
|
||
|
tm "BdCompilerDirectivesTextMgr"
|
||
|
)
|
||
|
*40 (Text
|
||
|
uid 48,0
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "20000,4800,33700,6000"
|
||
|
st "Post-module directives:"
|
||
|
blo "20000,5800"
|
||
|
)
|
||
|
*41 (MLText
|
||
|
uid 49,0
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
)
|
||
|
xt "20000,0,20000,0"
|
||
|
tm "BdCompilerDirectivesTextMgr"
|
||
|
)
|
||
|
*42 (Text
|
||
|
uid 50,0
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "20000,6000,33200,7200"
|
||
|
st "End-module directives:"
|
||
|
blo "20000,7000"
|
||
|
)
|
||
|
*43 (MLText
|
||
|
uid 51,0
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
)
|
||
|
xt "20000,7200,20000,7200"
|
||
|
tm "BdCompilerDirectivesTextMgr"
|
||
|
)
|
||
|
]
|
||
|
associable 1
|
||
|
)
|
||
|
windowSize "0,24,1921,1080"
|
||
|
viewArea "-1400,-1500,93408,48900"
|
||
|
cachedDiagramExtent "0,0,92000,44000"
|
||
|
pageSetupInfo (PageSetupInfo
|
||
|
ptrCmd ""
|
||
|
toPrinter 1
|
||
|
xMargin 49
|
||
|
yMargin 49
|
||
|
paperWidth 761
|
||
|
paperHeight 1077
|
||
|
windowsPaperWidth 761
|
||
|
windowsPaperHeight 1077
|
||
|
paperType "A4 (210 x 297 mm)"
|
||
|
windowsPaperName "A4 (210 x 297 mm)"
|
||
|
windowsPaperType 9
|
||
|
useAdjustTo 0
|
||
|
exportedDirectories [
|
||
|
"$HDS_PROJECT_DIR/HTMLExport"
|
||
|
]
|
||
|
boundaryWidth 0
|
||
|
exportStdIncludeRefs 1
|
||
|
exportStdPackageRefs 1
|
||
|
)
|
||
|
hasePageBreakOrigin 1
|
||
|
pageBreakOrigin "0,0"
|
||
|
lastUid 350,0
|
||
|
defaultCommentText (CommentText
|
||
|
shape (Rectangle
|
||
|
layer 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65280,65280,46080"
|
||
|
lineColor "0,0,32768"
|
||
|
)
|
||
|
xt "0,0,15000,5000"
|
||
|
)
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
)
|
||
|
xt "200,200,3200,1400"
|
||
|
st "
|
||
|
Text
|
||
|
"
|
||
|
tm "CommentText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 4600
|
||
|
visibleWidth 14600
|
||
|
)
|
||
|
)
|
||
|
defaultRequirementText (RequirementText
|
||
|
shape (ZoomableIcon
|
||
|
layer 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "59904,39936,65280"
|
||
|
lineColor "0,0,32768"
|
||
|
)
|
||
|
xt "0,0,1500,1750"
|
||
|
iconName "reqTracerRequirement.bmp"
|
||
|
iconMaskName "reqTracerRequirement.msk"
|
||
|
)
|
||
|
autoResize 1
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
fg "0,0,32768"
|
||
|
font "arial,8,0"
|
||
|
)
|
||
|
xt "500,2150,1400,3150"
|
||
|
st "
|
||
|
Text
|
||
|
"
|
||
|
tm "RequirementText"
|
||
|
wrapOption 3
|
||
|
visibleHeight 1350
|
||
|
visibleWidth 1100
|
||
|
)
|
||
|
)
|
||
|
defaultPanel (Panel
|
||
|
shape (RectFrame
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
lineColor "32768,0,0"
|
||
|
lineWidth 3
|
||
|
)
|
||
|
xt "0,0,20000,20000"
|
||
|
)
|
||
|
title (TextAssociate
|
||
|
ps "TopLeftStrategy"
|
||
|
text (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "1000,1000,5000,2200"
|
||
|
st "Panel0"
|
||
|
blo "1000,2000"
|
||
|
tm "PanelText"
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultBlk (Blk
|
||
|
shape (Rectangle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "39936,56832,65280"
|
||
|
lineColor "0,0,32768"
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "0,0,8000,10000"
|
||
|
)
|
||
|
ttg (MlTextGroup
|
||
|
ps "CenterOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*44 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "1300,3200,6700,4400"
|
||
|
st "<library>"
|
||
|
blo "1300,4200"
|
||
|
tm "BdLibraryNameMgr"
|
||
|
)
|
||
|
*45 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "1300,4400,6100,5600"
|
||
|
st "<block>"
|
||
|
blo "1300,5400"
|
||
|
tm "BlkNameMgr"
|
||
|
)
|
||
|
*46 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "1300,5600,3800,6800"
|
||
|
st "U_0"
|
||
|
blo "1300,6600"
|
||
|
tm "InstanceNameMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
ga (GenericAssociation
|
||
|
ps "EdgeToEdgeStrategy"
|
||
|
matrix (Matrix
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
font "Courier New,8,0"
|
||
|
)
|
||
|
xt "1300,13200,1300,13200"
|
||
|
)
|
||
|
header ""
|
||
|
)
|
||
|
elements [
|
||
|
]
|
||
|
)
|
||
|
viewicon (ZoomableIcon
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "49152,49152,49152"
|
||
|
)
|
||
|
xt "0,0,1500,1500"
|
||
|
iconName "UnknownFile.png"
|
||
|
iconMaskName "UnknownFile.msk"
|
||
|
)
|
||
|
viewiconposition 0
|
||
|
)
|
||
|
defaultMWComponent (MWC
|
||
|
shape (Rectangle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
lineColor "0,32896,0"
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "-850,0,8850,10000"
|
||
|
)
|
||
|
ttg (MlTextGroup
|
||
|
ps "CenterOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*47 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "-350,3200,3750,4400"
|
||
|
st "Library"
|
||
|
blo "-350,4200"
|
||
|
)
|
||
|
*48 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "-350,4400,8350,5600"
|
||
|
st "MWComponent"
|
||
|
blo "-350,5400"
|
||
|
)
|
||
|
*49 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "-350,5600,2150,6800"
|
||
|
st "U_0"
|
||
|
blo "-350,6600"
|
||
|
tm "InstanceNameMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
ga (GenericAssociation
|
||
|
ps "EdgeToEdgeStrategy"
|
||
|
matrix (Matrix
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
font "Courier New,8,0"
|
||
|
)
|
||
|
xt "-7350,1200,-7350,1200"
|
||
|
)
|
||
|
header ""
|
||
|
)
|
||
|
elements [
|
||
|
]
|
||
|
)
|
||
|
portVis (PortSigDisplay
|
||
|
)
|
||
|
prms (Property
|
||
|
pclass "params"
|
||
|
pname "params"
|
||
|
ptn "String"
|
||
|
)
|
||
|
visOptions (mwParamsVisibilityOptions
|
||
|
)
|
||
|
)
|
||
|
defaultSaComponent (SaComponent
|
||
|
shape (Rectangle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
lineColor "0,32896,0"
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "0,0,8000,10000"
|
||
|
)
|
||
|
ttg (MlTextGroup
|
||
|
ps "CenterOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*50 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "0,3200,4100,4400"
|
||
|
st "Library"
|
||
|
blo "0,4200"
|
||
|
tm "BdLibraryNameMgr"
|
||
|
)
|
||
|
*51 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "0,4400,8000,5600"
|
||
|
st "SaComponent"
|
||
|
blo "0,5400"
|
||
|
tm "CptNameMgr"
|
||
|
)
|
||
|
*52 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "0,5600,2500,6800"
|
||
|
st "U_0"
|
||
|
blo "0,6600"
|
||
|
tm "InstanceNameMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
ga (GenericAssociation
|
||
|
ps "EdgeToEdgeStrategy"
|
||
|
matrix (Matrix
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
font "Courier New,8,0"
|
||
|
)
|
||
|
xt "-7000,1200,-7000,1200"
|
||
|
)
|
||
|
header ""
|
||
|
)
|
||
|
elements [
|
||
|
]
|
||
|
)
|
||
|
viewicon (ZoomableIcon
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "49152,49152,49152"
|
||
|
)
|
||
|
xt "0,0,1500,1500"
|
||
|
iconName "UnknownFile.png"
|
||
|
iconMaskName "UnknownFile.msk"
|
||
|
)
|
||
|
viewiconposition 0
|
||
|
portVis (PortSigDisplay
|
||
|
)
|
||
|
archFileType "UNKNOWN"
|
||
|
)
|
||
|
defaultVhdlComponent (VhdlComponent
|
||
|
shape (Rectangle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
lineColor "0,32896,0"
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "-1000,0,9000,10000"
|
||
|
)
|
||
|
ttg (MlTextGroup
|
||
|
ps "CenterOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*53 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "-500,3200,3600,4400"
|
||
|
st "Library"
|
||
|
blo "-500,4200"
|
||
|
)
|
||
|
*54 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "-500,4400,8500,5600"
|
||
|
st "VhdlComponent"
|
||
|
blo "-500,5400"
|
||
|
)
|
||
|
*55 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "-500,5600,2000,6800"
|
||
|
st "U_0"
|
||
|
blo "-500,6600"
|
||
|
tm "InstanceNameMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
ga (GenericAssociation
|
||
|
ps "EdgeToEdgeStrategy"
|
||
|
matrix (Matrix
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
font "Courier New,8,0"
|
||
|
)
|
||
|
xt "-7500,1200,-7500,1200"
|
||
|
)
|
||
|
header ""
|
||
|
)
|
||
|
elements [
|
||
|
]
|
||
|
)
|
||
|
portVis (PortSigDisplay
|
||
|
)
|
||
|
entityPath ""
|
||
|
archName ""
|
||
|
archPath ""
|
||
|
)
|
||
|
defaultVerilogComponent (VerilogComponent
|
||
|
shape (Rectangle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
lineColor "0,32896,0"
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "-1650,0,9650,10000"
|
||
|
)
|
||
|
ttg (MlTextGroup
|
||
|
ps "CenterOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*56 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "-1150,3200,2950,4400"
|
||
|
st "Library"
|
||
|
blo "-1150,4200"
|
||
|
)
|
||
|
*57 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "-1150,4400,9150,5600"
|
||
|
st "VerilogComponent"
|
||
|
blo "-1150,5400"
|
||
|
)
|
||
|
*58 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "-1150,5600,1350,6800"
|
||
|
st "U_0"
|
||
|
blo "-1150,6600"
|
||
|
tm "InstanceNameMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
ga (GenericAssociation
|
||
|
ps "EdgeToEdgeStrategy"
|
||
|
matrix (Matrix
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
font "Courier New,8,0"
|
||
|
)
|
||
|
xt "-8150,1200,-8150,1200"
|
||
|
)
|
||
|
header ""
|
||
|
)
|
||
|
elements [
|
||
|
]
|
||
|
)
|
||
|
entityPath ""
|
||
|
)
|
||
|
defaultHdlText (HdlText
|
||
|
shape (Rectangle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,37120"
|
||
|
lineColor "0,0,32768"
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "0,0,8000,10000"
|
||
|
)
|
||
|
ttg (MlTextGroup
|
||
|
ps "CenterOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*59 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "2800,3800,5200,5000"
|
||
|
st "eb1"
|
||
|
blo "2800,4800"
|
||
|
tm "HdlTextNameMgr"
|
||
|
)
|
||
|
*60 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "2800,5000,4000,6200"
|
||
|
st "1"
|
||
|
blo "2800,6000"
|
||
|
tm "HdlTextNumberMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
viewicon (ZoomableIcon
|
||
|
sl 0
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "49152,49152,49152"
|
||
|
)
|
||
|
xt "0,0,1500,1500"
|
||
|
iconName "UnknownFile.png"
|
||
|
iconMaskName "UnknownFile.msk"
|
||
|
)
|
||
|
viewiconposition 0
|
||
|
)
|
||
|
defaultEmbeddedText (EmbeddedText
|
||
|
commentText (CommentText
|
||
|
ps "CenterOffsetStrategy"
|
||
|
shape (Rectangle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
lineColor "0,0,32768"
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "0,0,18000,5000"
|
||
|
)
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "200,200,3200,1400"
|
||
|
st "
|
||
|
Text
|
||
|
"
|
||
|
tm "HdlTextMgr"
|
||
|
wrapOption 3
|
||
|
visibleHeight 4600
|
||
|
visibleWidth 17600
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultGlobalConnector (GlobalConnector
|
||
|
shape (Circle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,0"
|
||
|
)
|
||
|
xt "-1000,-1000,1000,1000"
|
||
|
radius 1000
|
||
|
)
|
||
|
name (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "-650,-600,650,600"
|
||
|
st "G"
|
||
|
blo "-650,400"
|
||
|
)
|
||
|
)
|
||
|
defaultRipper (Ripper
|
||
|
ps "OnConnectorStrategy"
|
||
|
shape (Line2D
|
||
|
pts [
|
||
|
"0,0"
|
||
|
"1000,1000"
|
||
|
]
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
)
|
||
|
xt "0,0,1000,1000"
|
||
|
)
|
||
|
)
|
||
|
defaultBdJunction (BdJunction
|
||
|
ps "OnConnectorStrategy"
|
||
|
shape (Circle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
)
|
||
|
xt "-400,-400,400,400"
|
||
|
radius 400
|
||
|
)
|
||
|
)
|
||
|
defaultPortIoIn (PortIoIn
|
||
|
shape (CompositeShape
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,0,32768"
|
||
|
)
|
||
|
optionalChildren [
|
||
|
(Pentagon
|
||
|
sl 0
|
||
|
ro 270
|
||
|
xt "-2000,-375,-500,375"
|
||
|
)
|
||
|
(Line
|
||
|
sl 0
|
||
|
ro 270
|
||
|
xt "-500,0,0,0"
|
||
|
pts [
|
||
|
"-500,0"
|
||
|
"0,0"
|
||
|
]
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
stc 0
|
||
|
sf 1
|
||
|
tg (WTG
|
||
|
ps "PortIoTextPlaceStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "-1375,-1000,-1375,-1000"
|
||
|
ju 2
|
||
|
blo "-1375,-1000"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultPortIoOut (PortIoOut
|
||
|
shape (CompositeShape
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,0,32768"
|
||
|
)
|
||
|
optionalChildren [
|
||
|
(Pentagon
|
||
|
sl 0
|
||
|
ro 270
|
||
|
xt "500,-375,2000,375"
|
||
|
)
|
||
|
(Line
|
||
|
sl 0
|
||
|
ro 270
|
||
|
xt "0,0,500,0"
|
||
|
pts [
|
||
|
"0,0"
|
||
|
"500,0"
|
||
|
]
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
stc 0
|
||
|
sf 1
|
||
|
tg (WTG
|
||
|
ps "PortIoTextPlaceStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "625,-1000,625,-1000"
|
||
|
blo "625,-1000"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultPortIoInOut (PortIoInOut
|
||
|
shape (CompositeShape
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,0,32768"
|
||
|
)
|
||
|
optionalChildren [
|
||
|
(Hexagon
|
||
|
sl 0
|
||
|
xt "500,-375,2000,375"
|
||
|
)
|
||
|
(Line
|
||
|
sl 0
|
||
|
xt "0,0,500,0"
|
||
|
pts [
|
||
|
"0,0"
|
||
|
"500,0"
|
||
|
]
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
stc 0
|
||
|
sf 1
|
||
|
tg (WTG
|
||
|
ps "PortIoTextPlaceStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,-375,0,-375"
|
||
|
blo "0,-375"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultPortIoBuffer (PortIoBuffer
|
||
|
shape (CompositeShape
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
lineColor "0,0,32768"
|
||
|
)
|
||
|
optionalChildren [
|
||
|
(Hexagon
|
||
|
sl 0
|
||
|
xt "500,-375,2000,375"
|
||
|
)
|
||
|
(Line
|
||
|
sl 0
|
||
|
xt "0,0,500,0"
|
||
|
pts [
|
||
|
"0,0"
|
||
|
"500,0"
|
||
|
]
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
stc 0
|
||
|
sf 1
|
||
|
tg (WTG
|
||
|
ps "PortIoTextPlaceStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,-375,0,-375"
|
||
|
blo "0,-375"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultSignal (Wire
|
||
|
shape (OrthoPolyLine
|
||
|
va (VaSet
|
||
|
vasetType 3
|
||
|
)
|
||
|
pts [
|
||
|
"0,0"
|
||
|
"0,0"
|
||
|
]
|
||
|
)
|
||
|
ss 0
|
||
|
es 0
|
||
|
sat 32
|
||
|
eat 32
|
||
|
st 0
|
||
|
sf 1
|
||
|
si 0
|
||
|
tg (WTG
|
||
|
ps "ConnStartEndStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,0,2900,1200"
|
||
|
st "sig0"
|
||
|
blo "0,1000"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultBus (Wire
|
||
|
shape (OrthoPolyLine
|
||
|
va (VaSet
|
||
|
vasetType 3
|
||
|
lineWidth 2
|
||
|
)
|
||
|
pts [
|
||
|
"0,0"
|
||
|
"0,0"
|
||
|
]
|
||
|
)
|
||
|
ss 0
|
||
|
es 0
|
||
|
sat 32
|
||
|
eat 32
|
||
|
sty 1
|
||
|
st 0
|
||
|
sf 1
|
||
|
si 0
|
||
|
tg (WTG
|
||
|
ps "ConnStartEndStrategy"
|
||
|
stg "STSignalDisplayStrategy"
|
||
|
f (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,0,3800,1200"
|
||
|
st "dbus0"
|
||
|
blo "0,1000"
|
||
|
tm "WireNameMgr"
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultBundle (Bundle
|
||
|
shape (OrthoPolyLine
|
||
|
va (VaSet
|
||
|
vasetType 3
|
||
|
lineColor "32768,0,0"
|
||
|
lineWidth 2
|
||
|
)
|
||
|
pts [
|
||
|
"0,0"
|
||
|
"0,0"
|
||
|
]
|
||
|
)
|
||
|
ss 0
|
||
|
es 0
|
||
|
sat 32
|
||
|
eat 32
|
||
|
textGroup (BiTextGroup
|
||
|
ps "ConnStartEndStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
first (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,0,4700,1200"
|
||
|
st "bundle0"
|
||
|
blo "0,1000"
|
||
|
tm "BundleNameMgr"
|
||
|
)
|
||
|
second (MLText
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,1200,1500,2400"
|
||
|
st "()"
|
||
|
tm "BundleContentsMgr"
|
||
|
)
|
||
|
)
|
||
|
bundleNet &0
|
||
|
)
|
||
|
defaultPortMapFrame (PortMapFrame
|
||
|
ps "PortMapFrameStrategy"
|
||
|
shape (RectFrame
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
lineColor "0,0,32768"
|
||
|
lineWidth 2
|
||
|
)
|
||
|
xt "0,0,10000,12000"
|
||
|
)
|
||
|
portMapText (BiTextGroup
|
||
|
ps "BottomRightOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
first (MLText
|
||
|
va (VaSet
|
||
|
)
|
||
|
)
|
||
|
second (MLText
|
||
|
va (VaSet
|
||
|
)
|
||
|
tm "PortMapTextMgr"
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultGenFrame (Frame
|
||
|
shape (RectFrame
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
lineColor "26368,26368,26368"
|
||
|
lineStyle 2
|
||
|
lineWidth 3
|
||
|
)
|
||
|
xt "0,0,20000,20000"
|
||
|
)
|
||
|
title (TextAssociate
|
||
|
ps "TopLeftStrategy"
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,-1300,18500,-100"
|
||
|
st "g0: FOR i IN 0 TO n GENERATE"
|
||
|
tm "FrameTitleTextMgr"
|
||
|
)
|
||
|
)
|
||
|
seqNum (FrameSequenceNumber
|
||
|
ps "TopLeftStrategy"
|
||
|
shape (Rectangle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
)
|
||
|
xt "50,50,1850,1650"
|
||
|
)
|
||
|
num (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "250,250,1650,1450"
|
||
|
st "1"
|
||
|
blo "250,1250"
|
||
|
tm "FrameSeqNumMgr"
|
||
|
)
|
||
|
)
|
||
|
decls (MlTextGroup
|
||
|
ps "BottomRightOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*61 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "11200,20000,22000,21200"
|
||
|
st "Frame Declarations"
|
||
|
blo "11200,21000"
|
||
|
)
|
||
|
*62 (MLText
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "11200,21200,11200,21200"
|
||
|
tm "BdFrameDeclTextMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
)
|
||
|
defaultBlockFrame (Frame
|
||
|
shape (RectFrame
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
lineColor "26368,26368,26368"
|
||
|
lineStyle 1
|
||
|
lineWidth 3
|
||
|
)
|
||
|
xt "0,0,20000,20000"
|
||
|
)
|
||
|
title (TextAssociate
|
||
|
ps "TopLeftStrategy"
|
||
|
text (MLText
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,-1300,11000,-100"
|
||
|
st "b0: BLOCK (guard)"
|
||
|
tm "FrameTitleTextMgr"
|
||
|
)
|
||
|
)
|
||
|
seqNum (FrameSequenceNumber
|
||
|
ps "TopLeftStrategy"
|
||
|
shape (Rectangle
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
)
|
||
|
xt "50,50,1850,1650"
|
||
|
)
|
||
|
num (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "250,250,1650,1450"
|
||
|
st "1"
|
||
|
blo "250,1250"
|
||
|
tm "FrameSeqNumMgr"
|
||
|
)
|
||
|
)
|
||
|
decls (MlTextGroup
|
||
|
ps "BottomRightOffsetStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
textVec [
|
||
|
*63 (Text
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "11200,20000,22000,21200"
|
||
|
st "Frame Declarations"
|
||
|
blo "11200,21000"
|
||
|
)
|
||
|
*64 (MLText
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "11200,21200,11200,21200"
|
||
|
tm "BdFrameDeclTextMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
style 3
|
||
|
)
|
||
|
defaultSaCptPort (CptPort
|
||
|
ps "OnEdgeStrategy"
|
||
|
shape (Triangle
|
||
|
ro 90
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "0,65535,0"
|
||
|
)
|
||
|
xt "0,0,750,750"
|
||
|
)
|
||
|
tg (CPTG
|
||
|
ps "CptPortTextPlaceStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
f (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,750,2800,1950"
|
||
|
st "Port"
|
||
|
blo "0,1750"
|
||
|
)
|
||
|
)
|
||
|
thePort (LogicalPort
|
||
|
lang 11
|
||
|
decl (Decl
|
||
|
n "Port"
|
||
|
t ""
|
||
|
o 0
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultSaCptPortBuffer (CptPort
|
||
|
ps "OnEdgeStrategy"
|
||
|
shape (Diamond
|
||
|
va (VaSet
|
||
|
vasetType 1
|
||
|
fg "65535,65535,65535"
|
||
|
)
|
||
|
xt "0,0,750,750"
|
||
|
)
|
||
|
tg (CPTG
|
||
|
ps "CptPortTextPlaceStrategy"
|
||
|
stg "VerticalLayoutStrategy"
|
||
|
f (Text
|
||
|
va (VaSet
|
||
|
)
|
||
|
xt "0,750,2800,1950"
|
||
|
st "Port"
|
||
|
blo "0,1750"
|
||
|
)
|
||
|
)
|
||
|
thePort (LogicalPort
|
||
|
lang 11
|
||
|
m 3
|
||
|
decl (Decl
|
||
|
n "Port"
|
||
|
t ""
|
||
|
o 0
|
||
|
)
|
||
|
)
|
||
|
)
|
||
|
defaultDeclText (MLText
|
||
|
va (VaSet
|
||
|
font "Courier New,8,0"
|
||
|
)
|
||
|
)
|
||
|
archDeclarativeBlock (BdArchDeclBlock
|
||
|
uid 1,0
|
||
|
stg "BdArchDeclBlockLS"
|
||
|
declLabel (Text
|
||
|
uid 2,0
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "20000,0,27400,1200"
|
||
|
st "Declarations"
|
||
|
blo "20000,1000"
|
||
|
)
|
||
|
portLabel (Text
|
||
|
uid 3,0
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "20000,1200,23700,2400"
|
||
|
st "Ports:"
|
||
|
blo "20000,2200"
|
||
|
)
|
||
|
preUserLabel (Text
|
||
|
uid 4,0
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "20000,2400,25200,3600"
|
||
|
st "Pre User:"
|
||
|
blo "20000,3400"
|
||
|
)
|
||
|
preUserText (MLText
|
||
|
uid 5,0
|
||
|
va (VaSet
|
||
|
font "Courier New,8,0"
|
||
|
)
|
||
|
xt "22000,3600,40500,4400"
|
||
|
st "constant c_btnsNb : positive := 2;"
|
||
|
tm "BdDeclarativeTextMgr"
|
||
|
)
|
||
|
diagSignalLabel (Text
|
||
|
uid 6,0
|
||
|
va (VaSet
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "20000,4400,29500,5600"
|
||
|
st "Diagram Signals:"
|
||
|
blo "20000,5400"
|
||
|
)
|
||
|
postUserLabel (Text
|
||
|
uid 7,0
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
font "Verdana,9,1"
|
||
|
)
|
||
|
xt "20000,8800,26400,10000"
|
||
|
st "Post User:"
|
||
|
blo "20000,9800"
|
||
|
)
|
||
|
postUserText (MLText
|
||
|
uid 8,0
|
||
|
va (VaSet
|
||
|
isHidden 1
|
||
|
font "Courier New,8,0"
|
||
|
)
|
||
|
xt "20000,0,20000,0"
|
||
|
tm "BdDeclarativeTextMgr"
|
||
|
)
|
||
|
)
|
||
|
commonDM (CommonDM
|
||
|
ldm (LogicalDM
|
||
|
suid 4,0
|
||
|
usingSuid 1
|
||
|
emptyRow *65 (LEmptyRow
|
||
|
)
|
||
|
uid 54,0
|
||
|
optionalChildren [
|
||
|
*66 (RefLabelRowHdr
|
||
|
)
|
||
|
*67 (TitleRowHdr
|
||
|
)
|
||
|
*68 (FilterRowHdr
|
||
|
)
|
||
|
*69 (RefLabelColHdr
|
||
|
tm "RefLabelColHdrMgr"
|
||
|
)
|
||
|
*70 (RowExpandColHdr
|
||
|
tm "RowExpandColHdrMgr"
|
||
|
)
|
||
|
*71 (GroupColHdr
|
||
|
tm "GroupColHdrMgr"
|
||
|
)
|
||
|
*72 (NameColHdr
|
||
|
tm "BlockDiagramNameColHdrMgr"
|
||
|
)
|
||
|
*73 (ModeColHdr
|
||
|
tm "BlockDiagramModeColHdrMgr"
|
||
|
)
|
||
|
*74 (TypeColHdr
|
||
|
tm "BlockDiagramTypeColHdrMgr"
|
||
|
)
|
||
|
*75 (BoundsColHdr
|
||
|
tm "BlockDiagramBoundsColHdrMgr"
|
||
|
)
|
||
|
*76 (InitColHdr
|
||
|
tm "BlockDiagramInitColHdrMgr"
|
||
|
)
|
||
|
*77 (EolColHdr
|
||
|
tm "BlockDiagramEolColHdrMgr"
|
||
|
)
|
||
|
*78 (LeafLogPort
|
||
|
port (LogicalPort
|
||
|
lang 11
|
||
|
m 4
|
||
|
decl (Decl
|
||
|
n "en"
|
||
|
t "std_ulogic"
|
||
|
o 1
|
||
|
suid 1,0
|
||
|
)
|
||
|
)
|
||
|
uid 185,0
|
||
|
)
|
||
|
*79 (LeafLogPort
|
||
|
port (LogicalPort
|
||
|
lang 11
|
||
|
m 4
|
||
|
decl (Decl
|
||
|
n "rst"
|
||
|
t "std_ulogic"
|
||
|
o 2
|
||
|
suid 2,0
|
||
|
)
|
||
|
)
|
||
|
uid 187,0
|
||
|
)
|
||
|
*80 (LeafLogPort
|
||
|
port (LogicalPort
|
||
|
lang 11
|
||
|
m 4
|
||
|
decl (Decl
|
||
|
n "clk"
|
||
|
t "std_ulogic"
|
||
|
o 3
|
||
|
suid 3,0
|
||
|
)
|
||
|
)
|
||
|
uid 189,0
|
||
|
)
|
||
|
*81 (LeafLogPort
|
||
|
port (LogicalPort
|
||
|
lang 11
|
||
|
m 4
|
||
|
decl (Decl
|
||
|
n "btns"
|
||
|
t "std_ulogic_vector"
|
||
|
b "(c_btnsNb-1 DOWNTO 0)"
|
||
|
o 4
|
||
|
suid 4,0
|
||
|
)
|
||
|
)
|
||
|
uid 191,0
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
pdm (PhysicalDM
|
||
|
displayShortBounds 1
|
||
|
editShortBounds 1
|
||
|
uid 67,0
|
||
|
optionalChildren [
|
||
|
*82 (Sheet
|
||
|
sheetRow (SheetRow
|
||
|
headerVa (MVa
|
||
|
cellColor "49152,49152,49152"
|
||
|
fontColor "0,0,0"
|
||
|
font "Tahoma,10,0"
|
||
|
)
|
||
|
cellVa (MVa
|
||
|
cellColor "65535,65535,65535"
|
||
|
fontColor "0,0,0"
|
||
|
font "Tahoma,10,0"
|
||
|
)
|
||
|
groupVa (MVa
|
||
|
cellColor "39936,56832,65280"
|
||
|
fontColor "0,0,0"
|
||
|
font "Tahoma,10,0"
|
||
|
)
|
||
|
emptyMRCItem *83 (MRCItem
|
||
|
litem &65
|
||
|
pos 4
|
||
|
dimension 20
|
||
|
)
|
||
|
uid 69,0
|
||
|
optionalChildren [
|
||
|
*84 (MRCItem
|
||
|
litem &66
|
||
|
pos 0
|
||
|
dimension 20
|
||
|
uid 70,0
|
||
|
)
|
||
|
*85 (MRCItem
|
||
|
litem &67
|
||
|
pos 1
|
||
|
dimension 23
|
||
|
uid 71,0
|
||
|
)
|
||
|
*86 (MRCItem
|
||
|
litem &68
|
||
|
pos 2
|
||
|
hidden 1
|
||
|
dimension 20
|
||
|
uid 72,0
|
||
|
)
|
||
|
*87 (MRCItem
|
||
|
litem &78
|
||
|
pos 0
|
||
|
dimension 20
|
||
|
uid 186,0
|
||
|
)
|
||
|
*88 (MRCItem
|
||
|
litem &79
|
||
|
pos 1
|
||
|
dimension 20
|
||
|
uid 188,0
|
||
|
)
|
||
|
*89 (MRCItem
|
||
|
litem &80
|
||
|
pos 2
|
||
|
dimension 20
|
||
|
uid 190,0
|
||
|
)
|
||
|
*90 (MRCItem
|
||
|
litem &81
|
||
|
pos 3
|
||
|
dimension 20
|
||
|
uid 192,0
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
sheetCol (SheetCol
|
||
|
propVa (MVa
|
||
|
cellColor "0,49152,49152"
|
||
|
fontColor "0,0,0"
|
||
|
font "Tahoma,10,0"
|
||
|
textAngle 90
|
||
|
)
|
||
|
uid 73,0
|
||
|
optionalChildren [
|
||
|
*91 (MRCItem
|
||
|
litem &69
|
||
|
pos 0
|
||
|
dimension 20
|
||
|
uid 74,0
|
||
|
)
|
||
|
*92 (MRCItem
|
||
|
litem &71
|
||
|
pos 1
|
||
|
dimension 50
|
||
|
uid 75,0
|
||
|
)
|
||
|
*93 (MRCItem
|
||
|
litem &72
|
||
|
pos 2
|
||
|
dimension 100
|
||
|
uid 76,0
|
||
|
)
|
||
|
*94 (MRCItem
|
||
|
litem &73
|
||
|
pos 3
|
||
|
dimension 50
|
||
|
uid 77,0
|
||
|
)
|
||
|
*95 (MRCItem
|
||
|
litem &74
|
||
|
pos 4
|
||
|
dimension 100
|
||
|
uid 78,0
|
||
|
)
|
||
|
*96 (MRCItem
|
||
|
litem &75
|
||
|
pos 5
|
||
|
dimension 100
|
||
|
uid 79,0
|
||
|
)
|
||
|
*97 (MRCItem
|
||
|
litem &76
|
||
|
pos 6
|
||
|
dimension 50
|
||
|
uid 80,0
|
||
|
)
|
||
|
*98 (MRCItem
|
||
|
litem &77
|
||
|
pos 7
|
||
|
dimension 80
|
||
|
uid 81,0
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
fixedCol 4
|
||
|
fixedRow 2
|
||
|
name "Ports"
|
||
|
uid 68,0
|
||
|
vaOverrides [
|
||
|
]
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
uid 53,0
|
||
|
)
|
||
|
genericsCommonDM (CommonDM
|
||
|
ldm (LogicalDM
|
||
|
emptyRow *99 (LEmptyRow
|
||
|
)
|
||
|
uid 83,0
|
||
|
optionalChildren [
|
||
|
*100 (RefLabelRowHdr
|
||
|
)
|
||
|
*101 (TitleRowHdr
|
||
|
)
|
||
|
*102 (FilterRowHdr
|
||
|
)
|
||
|
*103 (RefLabelColHdr
|
||
|
tm "RefLabelColHdrMgr"
|
||
|
)
|
||
|
*104 (RowExpandColHdr
|
||
|
tm "RowExpandColHdrMgr"
|
||
|
)
|
||
|
*105 (GroupColHdr
|
||
|
tm "GroupColHdrMgr"
|
||
|
)
|
||
|
*106 (NameColHdr
|
||
|
tm "GenericNameColHdrMgr"
|
||
|
)
|
||
|
*107 (TypeColHdr
|
||
|
tm "GenericTypeColHdrMgr"
|
||
|
)
|
||
|
*108 (InitColHdr
|
||
|
tm "GenericValueColHdrMgr"
|
||
|
)
|
||
|
*109 (PragmaColHdr
|
||
|
tm "GenericPragmaColHdrMgr"
|
||
|
)
|
||
|
*110 (EolColHdr
|
||
|
tm "GenericEolColHdrMgr"
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
pdm (PhysicalDM
|
||
|
displayShortBounds 1
|
||
|
editShortBounds 1
|
||
|
uid 95,0
|
||
|
optionalChildren [
|
||
|
*111 (Sheet
|
||
|
sheetRow (SheetRow
|
||
|
headerVa (MVa
|
||
|
cellColor "49152,49152,49152"
|
||
|
fontColor "0,0,0"
|
||
|
font "Tahoma,10,0"
|
||
|
)
|
||
|
cellVa (MVa
|
||
|
cellColor "65535,65535,65535"
|
||
|
fontColor "0,0,0"
|
||
|
font "Tahoma,10,0"
|
||
|
)
|
||
|
groupVa (MVa
|
||
|
cellColor "39936,56832,65280"
|
||
|
fontColor "0,0,0"
|
||
|
font "Tahoma,10,0"
|
||
|
)
|
||
|
emptyMRCItem *112 (MRCItem
|
||
|
litem &99
|
||
|
pos 0
|
||
|
dimension 20
|
||
|
)
|
||
|
uid 97,0
|
||
|
optionalChildren [
|
||
|
*113 (MRCItem
|
||
|
litem &100
|
||
|
pos 0
|
||
|
dimension 20
|
||
|
uid 98,0
|
||
|
)
|
||
|
*114 (MRCItem
|
||
|
litem &101
|
||
|
pos 1
|
||
|
dimension 23
|
||
|
uid 99,0
|
||
|
)
|
||
|
*115 (MRCItem
|
||
|
litem &102
|
||
|
pos 2
|
||
|
hidden 1
|
||
|
dimension 20
|
||
|
uid 100,0
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
sheetCol (SheetCol
|
||
|
propVa (MVa
|
||
|
cellColor "0,49152,49152"
|
||
|
fontColor "0,0,0"
|
||
|
font "Tahoma,10,0"
|
||
|
textAngle 90
|
||
|
)
|
||
|
uid 101,0
|
||
|
optionalChildren [
|
||
|
*116 (MRCItem
|
||
|
litem &103
|
||
|
pos 0
|
||
|
dimension 20
|
||
|
uid 102,0
|
||
|
)
|
||
|
*117 (MRCItem
|
||
|
litem &105
|
||
|
pos 1
|
||
|
dimension 50
|
||
|
uid 103,0
|
||
|
)
|
||
|
*118 (MRCItem
|
||
|
litem &106
|
||
|
pos 2
|
||
|
dimension 100
|
||
|
uid 104,0
|
||
|
)
|
||
|
*119 (MRCItem
|
||
|
litem &107
|
||
|
pos 3
|
||
|
dimension 100
|
||
|
uid 105,0
|
||
|
)
|
||
|
*120 (MRCItem
|
||
|
litem &108
|
||
|
pos 4
|
||
|
dimension 50
|
||
|
uid 106,0
|
||
|
)
|
||
|
*121 (MRCItem
|
||
|
litem &109
|
||
|
pos 5
|
||
|
dimension 50
|
||
|
uid 107,0
|
||
|
)
|
||
|
*122 (MRCItem
|
||
|
litem &110
|
||
|
pos 6
|
||
|
dimension 80
|
||
|
uid 108,0
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
fixedCol 3
|
||
|
fixedRow 2
|
||
|
name "Ports"
|
||
|
uid 96,0
|
||
|
vaOverrides [
|
||
|
]
|
||
|
)
|
||
|
]
|
||
|
)
|
||
|
uid 82,0
|
||
|
type 1
|
||
|
)
|
||
|
activeModelName "BlockDiag"
|
||
|
)
|