From 27e755cc2f12cd7b59a2cf901485a3e75b3368dc Mon Sep 17 00:00:00 2001 From: Klagarge Date: Fri, 1 Mar 2024 14:24:40 +0100 Subject: [PATCH] add polygon signal --- .../Prefs/hds_user/v2019.2/hds_user_prefs | 2 +- .../Prefs/hds_user/v2019.2/hds_user_prefs.bak | 279 +-- .../hdl/triangleToPolygon_studentVersion.vhd | 25 +- .../triangleToPolygon_studentVersion.vhd.bak | 13 + .../hdl/waveformgen_tb_struct.vhg | 26 +- .../hdl/waveformgen_tester_entity.vhg | 12 +- .../hds/.xrf/waveformgen_tb_struct.xrf | 118 +- .../hds/.xrf/waveformgen_tester_entity.xrf | 22 +- .../hds/waveform@gen_tb/struct.bd | 465 +++-- .../hds/waveform@gen_tb/struct.bd.bak | 530 ++++-- .../hds/waveform@gen_tb/struct.bd.lck | 4 +- .../hds/waveform@gen_tester/interface | 505 ++++-- .../hds/waveform@gen_tester/interface.bak | 1552 +++++++++++++++++ 13 files changed, 2799 insertions(+), 754 deletions(-) create mode 100644 01-WaveformGenerator/WaveformGenerator/hdl/triangleToPolygon_studentVersion.vhd.bak create mode 100644 01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tester/interface.bak diff --git a/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs b/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs index d71dbc4..02fc116 100644 --- a/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs +++ b/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs @@ -6220,7 +6220,7 @@ yPos 0 width 1936 height 1056 activeSidePanelTab 2 -activeLibraryTab 1 +activeLibraryTab 2 sidePanelSize 278 showUnixHiddenFiles 0 componentBrowserXpos 569 diff --git a/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs.bak b/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs.bak index 4cd7572..02fc116 100644 --- a/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs.bak +++ b/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs.bak @@ -1279,6 +1279,7 @@ projectPaths [ "C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\01-WaveformGenerator\\Prefs\\hds.hdp" "C:\\work\\edu\\sem\\labo\\sem_labs\\01-WaveformGenerator\\Prefs\\hds.hdp" "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\hds.hdp" ] libMappingsRootDir "" teamLibMappingsRootDir "" @@ -1299,288 +1300,144 @@ exportedDirectories [ exportStdIncludeRefs 1 exportStdPackageRefs 1 ) -printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN" +printerName "\\\\vmenpprint1\\VS-ENP.23.N308-PRN" pageSizes [ (PageSizeInfo -name "12\" x 18\"" -type 512 -width 1106 -height 1658 +name "Letter" +width 783 +height 1013 ) (PageSizeInfo -name "11\" x 17\"" -type 17 -width 1013 -height 1566 -) -(PageSizeInfo -name "Legal (8,5\" x 14\")" +name "Legal" type 5 width 783 height 1290 ) (PageSizeInfo -name "Letter (8,5\" x 11\")" -width 783 -height 1013 -) -(PageSizeInfo -name "Executive (7,25\"x10,5\")" -type 7 -width 667 -height 967 -) -(PageSizeInfo -name "5,5\" x 8,5\"" +name "Statement" type 6 width 506 height 783 ) (PageSizeInfo -name "A3 (297 x 420 mm)" +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" type 8 width 1077 height 1523 ) (PageSizeInfo -name "A4 (210 x 297 mm)" +name "A4" type 9 width 761 height 1077 ) (PageSizeInfo -name "A5 (148 x 210 mm)" +name "A5" type 11 -width 538 +width 536 height 761 ) (PageSizeInfo -name "A6 (105 x 148 mm)" -type 70 -width 380 -height 538 -) -(PageSizeInfo -name "B4 JIS (257 x 364 mm)" +name "B4 (JIS)" type 12 width 932 height 1320 ) (PageSizeInfo -name "B5 JIS (182 x 257 mm)" +name "B5 (JIS)" type 13 width 660 height 932 ) (PageSizeInfo -name "B6 JIS (128 x 182 mm)" -type 88 -width 464 -height 660 +name "11×17" +type 17 +width 1013 +height 1566 ) (PageSizeInfo -name "8\" x 13\"" -type 518 -width 737 -height 1198 -) -(PageSizeInfo -name "8,25\" x 13\"" -type 519 -width 760 -height 1198 -) -(PageSizeInfo -name "8,5\" x 13\"" -type 14 -width 783 -height 1198 -) -(PageSizeInfo -name "8.5\" x 13.4\"" -type 551 -width 783 -height 1235 -) -(PageSizeInfo -name "Com10 Env.(4,125\"x9,5\")" +name "Envelope #10" type 20 -width 380 +width 379 height 875 ) (PageSizeInfo -name "Env.Monar.(3,875\"x7,5\")" -type 37 -width 357 -height 691 -) -(PageSizeInfo -name "Env. DL (110 x 220 mm)" +name "Envelope DL" type 27 width 399 height 798 ) (PageSizeInfo -name "Env. C6 (114 x 162 mm)" -type 31 -width 413 -height 587 -) -(PageSizeInfo -name "Env. C5 (162 x 229 mm)" +name "Envelope C5" type 28 width 587 height 830 ) (PageSizeInfo -name "8K (267 x 390 mm)" -type 520 -width 968 -height 1415 +name "Envelope B5" +type 34 +width 638 +height 907 ) (PageSizeInfo -name "16K (195 x 267 mm)" -type 521 -width 707 -height 968 +name "Envelope Monarch" +type 37 +width 357 +height 691 ) (PageSizeInfo -name "8,25\" x 14\"" -type 522 -width 760 -height 1290 +name "Japanese Postcard" +type 43 +width 362 +height 536 ) (PageSizeInfo -name "11\" x 14\"" -type 524 -width 1013 -height 1290 +name "A6" +type 70 +width 380 +height 536 ) (PageSizeInfo -name "13\" x 19,2\"" -type 525 -width 1198 -height 1769 +name "Double Japan Postcard Rotated" +type 82 +width 536 +height 725 ) (PageSizeInfo -name "13\" x 19\"" -type 526 -width 1198 -height 1751 +name "Executive (JIS)" +type 119 +width 783 +height 1196 ) (PageSizeInfo -name "12,6\" x 19,2\"" -type 527 -width 1161 -height 1769 +name "Oficio 8.5x13" +type 120 +width 783 +height 1198 ) (PageSizeInfo -name "12,6\" x 18,5\"" -type 528 -width 1161 -height 1704 -) -(PageSizeInfo -name "13\" x 18\"" -type 529 -width 1198 +name "12x18" +type 121 +width 1105 height 1658 ) (PageSizeInfo -name "10\" x 14\"" -type 16 -width 921 -height 1290 +name "8K 273x394 mm" +type 139 +width 990 +height 1428 ) (PageSizeInfo -name "10\" x 15\"" -type 546 -width 921 -height 1382 -) -(PageSizeInfo -name "11\" x 15\"" -type 539 -width 1013 -height 1382 -) -(PageSizeInfo -name "SRA3 (320 x 450 mm)" -type 530 -width 1161 -height 1632 -) -(PageSizeInfo -name "SRA4 (225 x 320 mm)" -type 531 -width 816 -height 1161 -) -(PageSizeInfo -name "Format papier personnalisé" -type 256 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size1(215,9 x 279,4 mm)" -type 257 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size2(215,9 x 279,4 mm)" -type 258 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size3(215,9 x 279,4 mm)" -type 259 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size4(215,9 x 279,4 mm)" -type 260 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size5(215,9 x 279,4 mm)" -type 261 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size6(215,9 x 279,4 mm)" -type 262 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size7(215,9 x 279,4 mm)" -type 263 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size8(215,9 x 279,4 mm)" -type 264 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size9(215,9 x 279,4 mm)" -type 265 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size10(215,9 x 279,4 mm)" -type 266 -width 783 -height 1013 +name "16K 197x273 mm" +type 140 +width 714 +height 990 ) ] exportPageSetupInfo (PageSetupInfo @@ -4291,7 +4148,7 @@ hdsWorkspaceLocation "" relativeLibraryRootDir "" vmLabelLatestDontAskAgain 0 vmLabelWorkspaceDontAskAgain 0 -logWindowGeometry "600x200+2349+55" +logWindowGeometry "683x501+259+78" diagramBrowserTabNo 0 showInsertPortHint 0 showContentFirstTime 0 @@ -6363,7 +6220,7 @@ yPos 0 width 1936 height 1056 activeSidePanelTab 2 -activeLibraryTab 1 +activeLibraryTab 2 sidePanelSize 278 showUnixHiddenFiles 0 componentBrowserXpos 569 diff --git a/01-WaveformGenerator/WaveformGenerator/hdl/triangleToPolygon_studentVersion.vhd b/01-WaveformGenerator/WaveformGenerator/hdl/triangleToPolygon_studentVersion.vhd index 0cb1a55..f88fdef 100644 --- a/01-WaveformGenerator/WaveformGenerator/hdl/triangleToPolygon_studentVersion.vhd +++ b/01-WaveformGenerator/WaveformGenerator/hdl/triangleToPolygon_studentVersion.vhd @@ -1,4 +1,27 @@ ARCHITECTURE studentVersion OF triangleToPolygon IS + + signal mySignal : unsigned(bitNb downto 0); + constant aFullTriangle : unsigned(bitNb downto 0) := (others => '1'); + BEGIN - polygon <= (others => '0'); + + convert: process(triangle) + begin + + if (('0' & triangle) + ('0' & shift_right(triangle, 1))) < shift_right(aFullTriangle, 3) then + + mySignal <= shift_right(aFullTriangle,3); + + elsif (('0' & triangle) + ('0' & shift_right(triangle, 1))) > (shift_right(aFullTriangle, 1) + shift_right('0' & aFullTriangle, 3)) then + + mySignal <= (shift_right(aFullTriangle,1) + shift_right(aFullTriangle,3)); + + elsif '1' then + mySignal <= ('0' & triangle) + ('0' & shift_right(triangle, 1) ); + + end if ; + + end process convert; + + polygon <= resize(mySignal-shift_right('0' & aFullTriangle,3), bitNb); END ARCHITECTURE studentVersion; diff --git a/01-WaveformGenerator/WaveformGenerator/hdl/triangleToPolygon_studentVersion.vhd.bak b/01-WaveformGenerator/WaveformGenerator/hdl/triangleToPolygon_studentVersion.vhd.bak new file mode 100644 index 0000000..64604a4 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hdl/triangleToPolygon_studentVersion.vhd.bak @@ -0,0 +1,13 @@ +ARCHITECTURE studentVersion OF triangleToPolygon IS + + signal mySignal : unsigned(bitNb downto 0); + +BEGIN + + convert: process(triangle) + begin + mySignal <= triangle + shift_left(triangle, 1); + end process convert; + + polygon <= mySignal; +END ARCHITECTURE studentVersion; diff --git a/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_struct.vhg b/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_struct.vhg index 88e6539..4bf9eb9 100644 --- a/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_struct.vhg +++ b/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tb_struct.vhg @@ -3,7 +3,7 @@ -- -- Created: -- by - remi.heredero.UNKNOWN (WE2330808) --- at - 11:05:34 27.02.2024 +-- at - 13:13:41 01.03.2024 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) -- @@ -18,16 +18,20 @@ ARCHITECTURE struct OF waveformGen_tb IS -- Architecture declarations constant bitNb: positive := 16; + constant signalBitNb: positive := 16; + constant phaseBitNb: positive := 16; constant clockFrequency: real := 60.0E6; --constant clockFrequency: real := 66.0E6; -- Internal signal declarations SIGNAL clock : std_ulogic; SIGNAL en : std_ulogic; + SIGNAL polygon : unsigned(signalBitNb-1 DOWNTO 0); SIGNAL reset : std_ulogic; - SIGNAL sawtooth : unsigned(bitNb-1 DOWNTO 0); - SIGNAL square : unsigned(bitNb-1 DOWNTO 0); + SIGNAL sawtooth : unsigned(phaseBitNb-1 DOWNTO 0); + SIGNAL square : unsigned(signalBitNb-1 DOWNTO 0); SIGNAL step : unsigned(bitNb-1 DOWNTO 0); + SIGNAL triangle : unsigned(signalBitNb-1 DOWNTO 0); -- Component Declarations @@ -51,11 +55,15 @@ ARCHITECTURE struct OF waveformGen_tb IS COMPONENT waveformGen_tester GENERIC ( bitNb : positive := 16; - clockFrequency : real := 60.0E6 + clockFrequency : real := 60.0E6; + phaseBitNb : positive := 16; + signalBitNb : positive := 16 ); PORT ( - sawtooth : IN unsigned (bitNb-1 DOWNTO 0); - square : IN unsigned (bitNb-1 DOWNTO 0); + polygon : IN unsigned (signalBitNb-1 DOWNTO 0); + sawtooth : IN unsigned (phaseBitNb-1 DOWNTO 0); + square : IN unsigned (signalBitNb-1 DOWNTO 0); + triangle : IN unsigned (signalBitNb-1 DOWNTO 0); clock : OUT std_ulogic ; en : OUT std_ulogic ; reset : OUT std_ulogic ; @@ -83,11 +91,11 @@ BEGIN en => en, reset => reset, step => step, - polygon => OPEN, + polygon => polygon, sawtooth => sawtooth, sine => OPEN, square => square, - triangle => OPEN + triangle => triangle ); I_tb : waveformGen_tester GENERIC MAP ( @@ -95,8 +103,10 @@ BEGIN clockFrequency => clockFrequency ) PORT MAP ( + polygon => polygon, sawtooth => sawtooth, square => square, + triangle => triangle, clock => clock, en => en, reset => reset, diff --git a/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tester_entity.vhg b/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tester_entity.vhg index 6307fa0..8a39ba1 100644 --- a/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tester_entity.vhg +++ b/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformgen_tester_entity.vhg @@ -2,7 +2,7 @@ -- -- Created: -- by - remi.heredero.UNKNOWN (WE2330808) --- at - 11:05:34 27.02.2024 +-- at - 13:12:24 01.03.2024 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) -- @@ -13,11 +13,15 @@ LIBRARY ieee; ENTITY waveformGen_tester IS GENERIC( bitNb : positive := 16; - clockFrequency : real := 60.0E6 + clockFrequency : real := 60.0E6; + phaseBitNb : positive := 16; + signalBitNb : positive := 16 ); PORT( - sawtooth : IN unsigned (BitNb-1 DOWNTO 0); - square : IN unsigned (BitNb-1 DOWNTO 0); + polygon : IN unsigned (signalBitNb-1 DOWNTO 0); + sawtooth : IN unsigned (phaseBitNb-1 DOWNTO 0); + square : IN unsigned (signalBitNb-1 DOWNTO 0); + triangle : IN unsigned (signalBitNb-1 DOWNTO 0); clock : OUT std_ulogic; en : OUT std_ulogic; reset : OUT std_ulogic; diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_struct.xrf b/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_struct.xrf index ab90955..96a5b24 100644 --- a/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_struct.xrf +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tb_struct.xrf @@ -18,133 +18,151 @@ VIEW struct.bd NO_GRAPHIC 19 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 53,0 24 0 +GRAPHIC 53,0 26 0 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 700,0 25 0 +GRAPHIC 700,0 27 0 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 45,0 26 0 +GRAPHIC 1355,0 28 0 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 1180,0 27 0 +GRAPHIC 45,0 29 0 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 1263,0 28 0 +GRAPHIC 1180,0 30 0 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 594,0 29 0 +GRAPHIC 1263,0 31 0 DESIGN waveform@gen_tb VIEW struct.bd -NO_GRAPHIC 30 +GRAPHIC 594,0 32 0 DESIGN waveform@gen_tb VIEW struct.bd -NO_GRAPHIC 31 +GRAPHIC 1308,0 33 0 +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 34 +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 35 LIBRARY WaveformGenerator DESIGN waveform@gen VIEW struct -GRAPHIC 954,0 33 0 +GRAPHIC 954,0 37 0 DESIGN waveform@gen VIEW symbol.sb -GRAPHIC 14,0 34 1 +GRAPHIC 14,0 38 1 DESIGN waveform@gen VIEW symbol.sb -GRAPHIC 52,0 39 0 +GRAPHIC 52,0 43 0 DESIGN waveform@gen VIEW symbol.sb -GRAPHIC 123,0 40 0 +GRAPHIC 123,0 44 0 DESIGN waveform@gen VIEW symbol.sb -GRAPHIC 88,0 41 0 +GRAPHIC 88,0 45 0 DESIGN waveform@gen VIEW symbol.sb -GRAPHIC 113,0 42 0 +GRAPHIC 113,0 46 0 DESIGN waveform@gen VIEW symbol.sb -GRAPHIC 93,0 43 0 +GRAPHIC 93,0 47 0 DESIGN waveform@gen VIEW symbol.sb -GRAPHIC 98,0 44 0 +GRAPHIC 98,0 48 0 DESIGN waveform@gen VIEW symbol.sb -GRAPHIC 103,0 45 0 +GRAPHIC 103,0 49 0 DESIGN waveform@gen VIEW symbol.sb -GRAPHIC 108,0 46 0 +GRAPHIC 108,0 50 0 DESIGN waveform@gen VIEW symbol.sb -GRAPHIC 118,0 47 0 +GRAPHIC 118,0 51 0 LIBRARY WaveformGenerator_test DESIGN waveform@gen_tester VIEW test -GRAPHIC 421,0 50 0 +GRAPHIC 421,0 54 0 DESIGN waveform@gen_tester VIEW interface -GRAPHIC 14,0 51 1 +GRAPHIC 14,0 55 1 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 1182,0 56 0 +GRAPHIC 1357,0 62 0 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 1265,0 57 0 +GRAPHIC 1182,0 63 0 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 55,0 58 0 +GRAPHIC 1265,0 64 0 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 702,0 59 0 +GRAPHIC 1310,0 65 0 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 47,0 60 0 +GRAPHIC 55,0 66 0 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 596,0 61 0 +GRAPHIC 702,0 67 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 47,0 68 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 596,0 69 0 LIBRARY WaveformGenerator_test DESIGN waveform@gen_tb VIEW struct.bd -NO_GRAPHIC 64 -DESIGN waveform@gen_tb -VIEW struct.bd -GRAPHIC 954,0 67 0 -DESIGN waveform@gen_tb -VIEW struct.bd -GRAPHIC 421,0 68 0 -DESIGN waveform@gen_tb -VIEW struct.bd -NO_GRAPHIC 71 -DESIGN waveform@gen_tb -VIEW struct.bd -NO_GRAPHIC 73 +NO_GRAPHIC 72 DESIGN waveform@gen_tb VIEW struct.bd GRAPHIC 954,0 75 0 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 961,0 76 1 +GRAPHIC 421,0 76 0 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 55,0 81 0 +NO_GRAPHIC 79 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 702,0 82 0 +NO_GRAPHIC 81 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 47,0 83 0 +GRAPHIC 954,0 83 0 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 596,0 84 0 +GRAPHIC 961,0 84 1 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 1182,0 86 0 +GRAPHIC 55,0 89 0 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 1265,0 88 0 +GRAPHIC 702,0 90 0 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 421,0 91 0 +GRAPHIC 47,0 91 0 DESIGN waveform@gen_tb VIEW struct.bd -GRAPHIC 428,0 92 1 +GRAPHIC 596,0 92 0 DESIGN waveform@gen_tb VIEW struct.bd -NO_GRAPHIC 105 +GRAPHIC 1357,0 93 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 1182,0 94 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 1265,0 96 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 1310,0 97 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 421,0 99 0 +DESIGN waveform@gen_tb +VIEW struct.bd +GRAPHIC 428,0 100 1 +DESIGN waveform@gen_tb +VIEW struct.bd +NO_GRAPHIC 115 diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tester_entity.xrf b/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tester_entity.xrf index fb22e1c..4dfebce 100644 --- a/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tester_entity.xrf +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/.xrf/waveformgen_tester_entity.xrf @@ -9,25 +9,31 @@ VIEW interface GRAPHIC 13,0 13 1 DESIGN waveform@gen_tester VIEW interface -GRAPHIC 904,0 18 0 +GRAPHIC 1036,0 20 0 DESIGN waveform@gen_tester VIEW interface -GRAPHIC 909,0 19 0 +GRAPHIC 1046,0 21 0 DESIGN waveform@gen_tester VIEW interface -GRAPHIC 889,0 20 0 +GRAPHIC 1051,0 22 0 DESIGN waveform@gen_tester VIEW interface -GRAPHIC 894,0 21 0 +GRAPHIC 1061,0 23 0 DESIGN waveform@gen_tester VIEW interface -GRAPHIC 899,0 22 0 +GRAPHIC 1026,0 24 0 DESIGN waveform@gen_tester VIEW interface -GRAPHIC 914,0 23 0 +GRAPHIC 1031,0 25 0 DESIGN waveform@gen_tester VIEW interface -GRAPHIC 1,0 26 0 +GRAPHIC 1041,0 26 0 DESIGN waveform@gen_tester VIEW interface -GRAPHIC 1,0 27 0 +GRAPHIC 1056,0 27 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 1,0 30 0 +DESIGN waveform@gen_tester +VIEW interface +GRAPHIC 1,0 31 0 diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd index 3c26cf7..63534b3 100644 --- a/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd @@ -117,19 +117,19 @@ value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGen ) (vvPair variable "date" -value "27.02.2024" +value "01.03.2024" ) (vvPair variable "day" -value "mar." +value "ven." ) (vvPair variable "day_long" -value "mardi" +value "vendredi" ) (vvPair variable "dd" -value "27" +value "01" ) (vvPair variable "designName" @@ -161,7 +161,7 @@ value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "27.02.2024" +value "01.03.2024" ) (vvPair variable "graphical_source_group" @@ -173,7 +173,7 @@ value "WE2330808" ) (vvPair variable "graphical_source_time" -value "11:05:34" +value "13:13:41" ) (vvPair variable "group" @@ -201,7 +201,7 @@ value "$SCRATCH_DIR/WaveformGenerator_test" ) (vvPair variable "mm" -value "02" +value "03" ) (vvPair variable "module_name" @@ -209,11 +209,11 @@ value "waveformGen_tb" ) (vvPair variable "month" -value "févr." +value "mars" ) (vvPair variable "month_long" -value "février" +value "mars" ) (vvPair variable "p" @@ -301,7 +301,7 @@ value "struct" ) (vvPair variable "time" -value "11:05:34" +value "13:13:41" ) (vvPair variable "unit" @@ -344,7 +344,7 @@ declText (MLText uid 46,0 va (VaSet ) -xt "2000,14600,18300,15800" +xt "2000,18200,18300,19400" st "SIGNAL reset : std_ulogic " ) @@ -361,7 +361,7 @@ declText (MLText uid 54,0 va (VaSet ) -xt "2000,12200,18400,13400" +xt "2000,14600,18400,15800" st "SIGNAL clock : std_ulogic " ) @@ -771,7 +771,7 @@ declText (MLText uid 595,0 va (VaSet ) -xt "2000,18200,29200,19400" +xt "2000,21800,29200,23000" st "SIGNAL step : unsigned(bitNb-1 DOWNTO 0) " ) @@ -788,7 +788,7 @@ declText (MLText uid 701,0 va (VaSet ) -xt "2000,13400,17800,14600" +xt "2000,15800,17800,17000" st "SIGNAL en : std_ulogic " ) @@ -1223,7 +1223,7 @@ declText (MLText uid 1181,0 va (VaSet ) -xt "2000,15800,33400,17000" +xt "2000,19400,33400,20600" st "SIGNAL sawtooth : unsigned(phaseBitNb-1 DOWNTO 0) " ) @@ -1241,12 +1241,48 @@ declText (MLText uid 1264,0 va (VaSet ) -xt "2000,17000,32900,18200" +xt "2000,20600,32900,21800" st "SIGNAL square : unsigned(signalBitNb-1 DOWNTO 0) " ) ) -*35 (Wire +*35 (Net +uid 1308,0 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 11,0 +) +declText (MLText +uid 1309,0 +va (VaSet +) +xt "2000,23000,32700,24200" +st "SIGNAL triangle : unsigned(signalBitNb-1 DOWNTO 0) +" +) +) +*36 (Net +uid 1355,0 +decl (Decl +n "polygon" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 12,0 +) +declText (MLText +uid 1356,0 +va (VaSet +) +xt "2000,17000,33100,18200" +st "SIGNAL polygon : unsigned(signalBitNb-1 DOWNTO 0) +" +) +) +*37 (Wire uid 47,0 shape (OrthoPolyLine uid 48,0 @@ -1285,7 +1321,7 @@ tm "WireNameMgr" ) on &1 ) -*36 (Wire +*38 (Wire uid 55,0 shape (OrthoPolyLine uid 56,0 @@ -1324,7 +1360,7 @@ tm "WireNameMgr" ) on &2 ) -*37 (Wire +*39 (Wire uid 596,0 shape (OrthoPolyLine uid 597,0 @@ -1365,7 +1401,7 @@ tm "WireNameMgr" ) on &18 ) -*38 (Wire +*40 (Wire uid 702,0 shape (OrthoPolyLine uid 703,0 @@ -1404,7 +1440,7 @@ tm "WireNameMgr" ) on &19 ) -*39 (Wire +*41 (Wire uid 1182,0 shape (OrthoPolyLine uid 1183,0 @@ -1445,7 +1481,7 @@ tm "WireNameMgr" ) on &33 ) -*40 (Wire +*42 (Wire uid 1265,0 shape (OrthoPolyLine uid 1266,0 @@ -1486,6 +1522,88 @@ tm "WireNameMgr" ) on &34 ) +*43 (Wire +uid 1310,0 +shape (OrthoPolyLine +uid 1311,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55750,22000,62000,38000" +pts [ +"55750,22000" +"62000,22000" +"62000,38000" +] +) +start &28 +end &14 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1314,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1315,0 +va (VaSet +font "Arial,12,0" +) +xt "57750,20500,62550,22000" +st "triangle" +blo "57750,21700" +tm "WireNameMgr" +) +) +on &35 +) +*44 (Wire +uid 1357,0 +shape (OrthoPolyLine +uid 1358,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55750,24000,60000,38000" +pts [ +"55750,24000" +"60000,24000" +"60000,38000" +] +) +start &23 +end &14 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1361,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1362,0 +va (VaSet +font "Arial,12,0" +) +xt "57750,22500,62750,24000" +st "polygon" +blo "57750,23700" +tm "WireNameMgr" +) +) +on &36 +) ] bg "65535,65535,65535" grid (Grid @@ -1498,11 +1616,11 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *41 (PackageList +packageList *45 (PackageList uid 142,0 stg "VerticalLayoutStrategy" textVec [ -*42 (Text +*46 (Text uid 143,0 va (VaSet font "Arial,8,1" @@ -1511,7 +1629,7 @@ xt "0,0,6500,900" st "Package List" blo "0,700" ) -*43 (MLText +*47 (MLText uid 144,0 va (VaSet ) @@ -1527,7 +1645,7 @@ compDirBlock (MlTextGroup uid 145,0 stg "VerticalLayoutStrategy" textVec [ -*44 (Text +*48 (Text uid 146,0 va (VaSet isHidden 1 @@ -1537,7 +1655,7 @@ xt "20000,0,30000,900" st "Compiler Directives" blo "20000,700" ) -*45 (Text +*49 (Text uid 147,0 va (VaSet isHidden 1 @@ -1547,7 +1665,7 @@ xt "20000,1000,31500,1900" st "Pre-module directives:" blo "20000,1700" ) -*46 (MLText +*50 (MLText uid 148,0 va (VaSet isHidden 1 @@ -1557,7 +1675,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*47 (Text +*51 (Text uid 149,0 va (VaSet isHidden 1 @@ -1567,7 +1685,7 @@ xt "20000,4000,32000,4900" st "Post-module directives:" blo "20000,4700" ) -*48 (MLText +*52 (MLText uid 150,0 va (VaSet isHidden 1 @@ -1575,7 +1693,7 @@ isHidden 1 xt "20000,0,20000,0" tm "BdCompilerDirectivesTextMgr" ) -*49 (Text +*53 (Text uid 151,0 va (VaSet isHidden 1 @@ -1585,7 +1703,7 @@ xt "20000,5000,31500,5900" st "End-module directives:" blo "20000,5700" ) -*50 (MLText +*54 (MLText uid 152,0 va (VaSet isHidden 1 @@ -1596,8 +1714,8 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "-8,-8,1928,1048" -viewArea "-1200,4500,106404,61200" +windowSize "0,0,1921,1056" +viewArea "-1200,4500,107152,62100" cachedDiagramExtent "0,0,81000,55000" pageSetupInfo (PageSetupInfo ptrCmd "Generic PostScript Printer,winspool," @@ -1622,7 +1740,7 @@ boundaryWidth 0 ) hasePageBreakOrigin 1 pageBreakOrigin "0,0" -lastUid 1272,0 +lastUid 1364,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -1709,7 +1827,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*51 (Text +*55 (Text va (VaSet font "Arial,9,0" ) @@ -1718,7 +1836,7 @@ st "" blo "1700,4200" tm "BdLibraryNameMgr" ) -*52 (Text +*56 (Text va (VaSet font "Arial,9,0" ) @@ -1727,7 +1845,7 @@ st "" blo "1700,5400" tm "BlkNameMgr" ) -*53 (Text +*57 (Text va (VaSet font "Arial,9,0" ) @@ -1765,21 +1883,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*54 (Text +*58 (Text va (VaSet ) xt "1000,3500,3300,4500" st "Library" blo "1000,4300" ) -*55 (Text +*59 (Text va (VaSet ) xt "1000,4500,7000,5500" st "MWComponent" blo "1000,5300" ) -*56 (Text +*60 (Text va (VaSet ) xt "1000,5500,1600,6500" @@ -1823,7 +1941,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*57 (Text +*61 (Text va (VaSet ) xt "1250,3500,3550,4500" @@ -1831,7 +1949,7 @@ st "Library" blo "1250,4300" tm "BdLibraryNameMgr" ) -*58 (Text +*62 (Text va (VaSet ) xt "1250,4500,6750,5500" @@ -1839,7 +1957,7 @@ st "SaComponent" blo "1250,5300" tm "CptNameMgr" ) -*59 (Text +*63 (Text va (VaSet ) xt "1250,5500,1850,6500" @@ -1877,21 +1995,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*60 (Text +*64 (Text va (VaSet ) xt "950,3500,3250,4500" st "Library" blo "950,4300" ) -*61 (Text +*65 (Text va (VaSet ) xt "950,4500,7050,5500" st "VhdlComponent" blo "950,5300" ) -*62 (Text +*66 (Text va (VaSet ) xt "950,5500,1550,6500" @@ -1931,21 +2049,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*63 (Text +*67 (Text va (VaSet ) xt "450,3500,2750,4500" st "Library" blo "450,4300" ) -*64 (Text +*68 (Text va (VaSet ) xt "450,4500,7550,5500" st "VerilogComponent" blo "450,5300" ) -*65 (Text +*69 (Text va (VaSet ) xt "450,5500,1050,6500" @@ -1983,7 +2101,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*66 (Text +*70 (Text va (VaSet ) xt "3400,4000,4600,5000" @@ -1991,7 +2109,7 @@ st "eb1" blo "3400,4800" tm "HdlTextNameMgr" ) -*67 (Text +*71 (Text va (VaSet ) xt "3400,5000,3800,6000" @@ -2388,7 +2506,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*68 (Text +*72 (Text va (VaSet font "Arial,8,1" ) @@ -2396,7 +2514,7 @@ xt "14100,20000,22000,21000" st "Frame Declarations" blo "14100,20800" ) -*69 (MLText +*73 (MLText va (VaSet ) xt "14100,21000,14100,21000" @@ -2448,7 +2566,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*70 (Text +*74 (Text va (VaSet font "Arial,8,1" ) @@ -2456,7 +2574,7 @@ xt "14100,20000,22000,21000" st "Frame Declarations" blo "14100,20800" ) -*71 (MLText +*75 (MLText va (VaSet ) xt "14100,21000,14100,21000" @@ -2562,10 +2680,13 @@ preUserText (MLText uid 5,0 va (VaSet ) -xt "2000,7700,26900,11300" +xt "2000,7700,26900,13700" st "constant bitNb: positive := 16; +constant signalBitNb: positive := 16; +constant phaseBitNb: positive := 16; constant clockFrequency: real := 60.0E6; ---constant clockFrequency: real := 66.0E6;" +--constant clockFrequency: real := 66.0E6; +" tm "BdDeclarativeTextMgr" ) diagSignalLabel (Text @@ -2573,9 +2694,9 @@ uid 6,0 va (VaSet font "Arial,8,1" ) -xt "0,11300,8500,12200" +xt "0,13700,8500,14600" st "Diagram Signals:" -blo "0,12000" +blo "0,14400" ) postUserLabel (Text uid 7,0 @@ -2598,46 +2719,46 @@ tm "BdDeclarativeTextMgr" ) commonDM (CommonDM ldm (LogicalDM -suid 10,0 +suid 12,0 usingSuid 1 -emptyRow *72 (LEmptyRow +emptyRow *76 (LEmptyRow ) uid 717,0 optionalChildren [ -*73 (RefLabelRowHdr +*77 (RefLabelRowHdr ) -*74 (TitleRowHdr +*78 (TitleRowHdr ) -*75 (FilterRowHdr +*79 (FilterRowHdr ) -*76 (RefLabelColHdr +*80 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*77 (RowExpandColHdr +*81 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*78 (GroupColHdr +*82 (GroupColHdr tm "GroupColHdrMgr" ) -*79 (NameColHdr +*83 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*80 (ModeColHdr +*84 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*81 (TypeColHdr +*85 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*82 (BoundsColHdr +*86 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*83 (InitColHdr +*87 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*84 (EolColHdr +*88 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*85 (LeafLogPort +*89 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -2649,7 +2770,7 @@ suid 1,0 ) uid 708,0 ) -*86 (LeafLogPort +*90 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -2661,7 +2782,7 @@ suid 2,0 ) uid 710,0 ) -*87 (LeafLogPort +*91 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -2674,7 +2795,7 @@ suid 3,0 ) uid 712,0 ) -*88 (LeafLogPort +*92 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -2686,7 +2807,7 @@ suid 4,0 ) uid 714,0 ) -*89 (LeafLogPort +*93 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -2699,7 +2820,7 @@ suid 5,0 ) uid 1188,0 ) -*90 (LeafLogPort +*94 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -2712,6 +2833,32 @@ suid 10,0 ) uid 1271,0 ) +*95 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 11,0 +) +) +uid 1316,0 +) +*96 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "polygon" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 12,0 +) +) +uid 1363,0 +) ] ) pdm (PhysicalDM @@ -2719,7 +2866,7 @@ displayShortBounds 1 editShortBounds 1 uid 730,0 optionalChildren [ -*91 (Sheet +*97 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -2736,68 +2883,80 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *92 (MRCItem -litem &72 -pos 6 +emptyMRCItem *98 (MRCItem +litem &76 +pos 8 dimension 20 ) uid 732,0 optionalChildren [ -*93 (MRCItem -litem &73 +*99 (MRCItem +litem &77 pos 0 dimension 20 uid 733,0 ) -*94 (MRCItem -litem &74 +*100 (MRCItem +litem &78 pos 1 dimension 23 uid 734,0 ) -*95 (MRCItem -litem &75 +*101 (MRCItem +litem &79 pos 2 hidden 1 dimension 20 uid 735,0 ) -*96 (MRCItem -litem &85 +*102 (MRCItem +litem &89 pos 0 dimension 20 uid 709,0 ) -*97 (MRCItem -litem &86 +*103 (MRCItem +litem &90 pos 1 dimension 20 uid 711,0 ) -*98 (MRCItem -litem &87 +*104 (MRCItem +litem &91 pos 2 dimension 20 uid 713,0 ) -*99 (MRCItem -litem &88 +*105 (MRCItem +litem &92 pos 3 dimension 20 uid 715,0 ) -*100 (MRCItem -litem &89 +*106 (MRCItem +litem &93 pos 4 dimension 20 uid 1189,0 ) -*101 (MRCItem -litem &90 +*107 (MRCItem +litem &94 pos 5 dimension 20 uid 1272,0 ) +*108 (MRCItem +litem &95 +pos 6 +dimension 20 +uid 1317,0 +) +*109 (MRCItem +litem &96 +pos 7 +dimension 20 +uid 1364,0 +) ] ) sheetCol (SheetCol @@ -2809,50 +2968,50 @@ textAngle 90 ) uid 736,0 optionalChildren [ -*102 (MRCItem -litem &76 +*110 (MRCItem +litem &80 pos 0 dimension 20 uid 737,0 ) -*103 (MRCItem -litem &78 +*111 (MRCItem +litem &82 pos 1 dimension 50 uid 738,0 ) -*104 (MRCItem -litem &79 +*112 (MRCItem +litem &83 pos 2 dimension 100 uid 739,0 ) -*105 (MRCItem -litem &80 +*113 (MRCItem +litem &84 pos 3 dimension 50 uid 740,0 ) -*106 (MRCItem -litem &81 +*114 (MRCItem +litem &85 pos 4 dimension 100 uid 741,0 ) -*107 (MRCItem -litem &82 +*115 (MRCItem +litem &86 pos 5 dimension 100 uid 742,0 ) -*108 (MRCItem -litem &83 +*116 (MRCItem +litem &87 pos 6 dimension 50 uid 743,0 ) -*109 (MRCItem -litem &84 +*117 (MRCItem +litem &88 pos 7 dimension 80 uid 744,0 @@ -2872,38 +3031,38 @@ uid 716,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *110 (LEmptyRow +emptyRow *118 (LEmptyRow ) uid 746,0 optionalChildren [ -*111 (RefLabelRowHdr +*119 (RefLabelRowHdr ) -*112 (TitleRowHdr +*120 (TitleRowHdr ) -*113 (FilterRowHdr +*121 (FilterRowHdr ) -*114 (RefLabelColHdr +*122 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*115 (RowExpandColHdr +*123 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*116 (GroupColHdr +*124 (GroupColHdr tm "GroupColHdrMgr" ) -*117 (NameColHdr +*125 (NameColHdr tm "GenericNameColHdrMgr" ) -*118 (TypeColHdr +*126 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*119 (InitColHdr +*127 (InitColHdr tm "GenericValueColHdrMgr" ) -*120 (PragmaColHdr +*128 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*121 (EolColHdr +*129 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -2911,7 +3070,7 @@ tm "GenericEolColHdrMgr" pdm (PhysicalDM uid 758,0 optionalChildren [ -*122 (Sheet +*130 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -2928,27 +3087,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *123 (MRCItem -litem &110 +emptyMRCItem *131 (MRCItem +litem &118 pos 0 dimension 20 ) uid 760,0 optionalChildren [ -*124 (MRCItem -litem &111 +*132 (MRCItem +litem &119 pos 0 dimension 20 uid 761,0 ) -*125 (MRCItem -litem &112 +*133 (MRCItem +litem &120 pos 1 dimension 23 uid 762,0 ) -*126 (MRCItem -litem &113 +*134 (MRCItem +litem &121 pos 2 hidden 1 dimension 20 @@ -2965,44 +3124,44 @@ textAngle 90 ) uid 764,0 optionalChildren [ -*127 (MRCItem -litem &114 +*135 (MRCItem +litem &122 pos 0 dimension 20 uid 765,0 ) -*128 (MRCItem -litem &116 +*136 (MRCItem +litem &124 pos 1 dimension 50 uid 766,0 ) -*129 (MRCItem -litem &117 +*137 (MRCItem +litem &125 pos 2 dimension 100 uid 767,0 ) -*130 (MRCItem -litem &118 +*138 (MRCItem +litem &126 pos 3 dimension 100 uid 768,0 ) -*131 (MRCItem -litem &119 +*139 (MRCItem +litem &127 pos 4 dimension 50 uid 769,0 ) -*132 (MRCItem -litem &120 +*140 (MRCItem +litem &128 pos 5 dimension 50 uid 770,0 ) -*133 (MRCItem -litem &121 +*141 (MRCItem +litem &129 pos 6 dimension 80 uid 771,0 diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.bak b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.bak index a0d13dd..2c71318 100644 --- a/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.bak +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.bak @@ -117,7 +117,7 @@ value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGen ) (vvPair variable "date" -value "23.02.2024" +value "01.03.2024" ) (vvPair variable "day" @@ -129,7 +129,7 @@ value "vendredi" ) (vvPair variable "dd" -value "23" +value "01" ) (vvPair variable "designName" @@ -161,7 +161,7 @@ value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "23.02.2024" +value "01.03.2024" ) (vvPair variable "graphical_source_group" @@ -173,7 +173,7 @@ value "WE2330808" ) (vvPair variable "graphical_source_time" -value "16:03:19" +value "13:10:43" ) (vvPair variable "group" @@ -201,7 +201,7 @@ value "$SCRATCH_DIR/WaveformGenerator_test" ) (vvPair variable "mm" -value "02" +value "03" ) (vvPair variable "module_name" @@ -209,11 +209,11 @@ value "waveformGen_tb" ) (vvPair variable "month" -value "févr." +value "mars" ) (vvPair variable "month_long" -value "février" +value "mars" ) (vvPair variable "p" @@ -301,7 +301,7 @@ value "struct" ) (vvPair variable "time" -value "16:03:19" +value "13:10:43" ) (vvPair variable "unit" @@ -344,7 +344,7 @@ declText (MLText uid 46,0 va (VaSet ) -xt "2000,14600,18300,15800" +xt "2000,18200,18300,19400" st "SIGNAL reset : std_ulogic " ) @@ -361,7 +361,7 @@ declText (MLText uid 54,0 va (VaSet ) -xt "2000,12200,18400,13400" +xt "2000,14600,18400,15800" st "SIGNAL clock : std_ulogic " ) @@ -740,7 +740,8 @@ va (VaSet ) xt "19000,50000,45200,52400" st "bitNb = bitNb ( positive ) -clockFrequency = clockFrequency ( real ) " +clockFrequency = clockFrequency ( real ) +" ) header "" ) @@ -771,7 +772,7 @@ declText (MLText uid 595,0 va (VaSet ) -xt "2000,17000,29200,18200" +xt "2000,21800,29200,23000" st "SIGNAL step : unsigned(bitNb-1 DOWNTO 0) " ) @@ -788,7 +789,7 @@ declText (MLText uid 701,0 va (VaSet ) -xt "2000,13400,17800,14600" +xt "2000,15800,17800,17000" st "SIGNAL en : std_ulogic " ) @@ -1223,12 +1224,66 @@ declText (MLText uid 1181,0 va (VaSet ) -xt "2000,15800,33400,17000" +xt "2000,19400,33400,20600" st "SIGNAL sawtooth : unsigned(phaseBitNb-1 DOWNTO 0) " ) ) -*34 (Wire +*34 (Net +uid 1263,0 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 10,0 +) +declText (MLText +uid 1264,0 +va (VaSet +) +xt "2000,20600,32900,21800" +st "SIGNAL square : unsigned(signalBitNb-1 DOWNTO 0) +" +) +) +*35 (Net +uid 1308,0 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 11,0 +) +declText (MLText +uid 1309,0 +va (VaSet +) +xt "2000,23000,32700,24200" +st "SIGNAL triangle : unsigned(signalBitNb-1 DOWNTO 0) +" +) +) +*36 (Net +uid 1355,0 +decl (Decl +n "polygon" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 12,0 +) +declText (MLText +uid 1356,0 +va (VaSet +) +xt "2000,17000,33100,18200" +st "SIGNAL polygon : unsigned(signalBitNb-1 DOWNTO 0) +" +) +) +*37 (Wire uid 47,0 shape (OrthoPolyLine uid 48,0 @@ -1267,7 +1322,7 @@ tm "WireNameMgr" ) on &1 ) -*35 (Wire +*38 (Wire uid 55,0 shape (OrthoPolyLine uid 56,0 @@ -1306,7 +1361,7 @@ tm "WireNameMgr" ) on &2 ) -*36 (Wire +*39 (Wire uid 596,0 shape (OrthoPolyLine uid 597,0 @@ -1347,7 +1402,7 @@ tm "WireNameMgr" ) on &18 ) -*37 (Wire +*40 (Wire uid 702,0 shape (OrthoPolyLine uid 703,0 @@ -1386,7 +1441,7 @@ tm "WireNameMgr" ) on &19 ) -*38 (Wire +*41 (Wire uid 1182,0 shape (OrthoPolyLine uid 1183,0 @@ -1427,6 +1482,129 @@ tm "WireNameMgr" ) on &33 ) +*42 (Wire +uid 1265,0 +shape (OrthoPolyLine +uid 1266,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55750,20000,65000,38000" +pts [ +"55750,20000" +"65000,20000" +"65000,38000" +] +) +start &26 +end &14 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1269,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1270,0 +va (VaSet +font "Arial,12,0" +) +xt "57750,18500,62350,20000" +st "square" +blo "57750,19700" +tm "WireNameMgr" +) +) +on &34 +) +*43 (Wire +uid 1310,0 +shape (OrthoPolyLine +uid 1311,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55750,22000,62000,38000" +pts [ +"55750,22000" +"62000,22000" +"62000,38000" +] +) +start &28 +end &14 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1314,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1315,0 +va (VaSet +font "Arial,12,0" +) +xt "57750,20500,62550,22000" +st "triangle" +blo "57750,21700" +tm "WireNameMgr" +) +) +on &35 +) +*44 (Wire +uid 1357,0 +shape (OrthoPolyLine +uid 1358,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55750,24000,60000,38000" +pts [ +"55750,24000" +"60000,24000" +"60000,38000" +] +) +start &23 +end &14 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1361,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1362,0 +va (VaSet +font "Arial,12,0" +) +xt "57750,22500,62750,24000" +st "polygon" +blo "57750,23700" +tm "WireNameMgr" +) +) +on &36 +) ] bg "65535,65535,65535" grid (Grid @@ -1439,11 +1617,11 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *39 (PackageList +packageList *45 (PackageList uid 142,0 stg "VerticalLayoutStrategy" textVec [ -*40 (Text +*46 (Text uid 143,0 va (VaSet font "Arial,8,1" @@ -1452,7 +1630,7 @@ xt "0,0,6500,900" st "Package List" blo "0,700" ) -*41 (MLText +*47 (MLText uid 144,0 va (VaSet ) @@ -1468,7 +1646,7 @@ compDirBlock (MlTextGroup uid 145,0 stg "VerticalLayoutStrategy" textVec [ -*42 (Text +*48 (Text uid 146,0 va (VaSet isHidden 1 @@ -1478,7 +1656,7 @@ xt "20000,0,30000,900" st "Compiler Directives" blo "20000,700" ) -*43 (Text +*49 (Text uid 147,0 va (VaSet isHidden 1 @@ -1488,7 +1666,7 @@ xt "20000,1000,31500,1900" st "Pre-module directives:" blo "20000,1700" ) -*44 (MLText +*50 (MLText uid 148,0 va (VaSet isHidden 1 @@ -1498,7 +1676,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*45 (Text +*51 (Text uid 149,0 va (VaSet isHidden 1 @@ -1508,7 +1686,7 @@ xt "20000,4000,32000,4900" st "Post-module directives:" blo "20000,4700" ) -*46 (MLText +*52 (MLText uid 150,0 va (VaSet isHidden 1 @@ -1516,7 +1694,7 @@ isHidden 1 xt "20000,0,20000,0" tm "BdCompilerDirectivesTextMgr" ) -*47 (Text +*53 (Text uid 151,0 va (VaSet isHidden 1 @@ -1526,7 +1704,7 @@ xt "20000,5000,31500,5900" st "End-module directives:" blo "20000,5700" ) -*48 (MLText +*54 (MLText uid 152,0 va (VaSet isHidden 1 @@ -1538,7 +1716,7 @@ tm "BdCompilerDirectivesTextMgr" associable 1 ) windowSize "0,0,1921,1056" -viewArea "-1200,4470,105459,61170" +viewArea "-1200,4500,107152,62100" cachedDiagramExtent "0,0,81000,55000" pageSetupInfo (PageSetupInfo ptrCmd "Generic PostScript Printer,winspool," @@ -1563,7 +1741,7 @@ boundaryWidth 0 ) hasePageBreakOrigin 1 pageBreakOrigin "0,0" -lastUid 1229,0 +lastUid 1364,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -1650,7 +1828,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*49 (Text +*55 (Text va (VaSet font "Arial,9,0" ) @@ -1659,7 +1837,7 @@ st "" blo "1700,4200" tm "BdLibraryNameMgr" ) -*50 (Text +*56 (Text va (VaSet font "Arial,9,0" ) @@ -1668,7 +1846,7 @@ st "" blo "1700,5400" tm "BlkNameMgr" ) -*51 (Text +*57 (Text va (VaSet font "Arial,9,0" ) @@ -1706,21 +1884,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*52 (Text +*58 (Text va (VaSet ) xt "1000,3500,3300,4500" st "Library" blo "1000,4300" ) -*53 (Text +*59 (Text va (VaSet ) xt "1000,4500,7000,5500" st "MWComponent" blo "1000,5300" ) -*54 (Text +*60 (Text va (VaSet ) xt "1000,5500,1600,6500" @@ -1764,7 +1942,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*55 (Text +*61 (Text va (VaSet ) xt "1250,3500,3550,4500" @@ -1772,7 +1950,7 @@ st "Library" blo "1250,4300" tm "BdLibraryNameMgr" ) -*56 (Text +*62 (Text va (VaSet ) xt "1250,4500,6750,5500" @@ -1780,7 +1958,7 @@ st "SaComponent" blo "1250,5300" tm "CptNameMgr" ) -*57 (Text +*63 (Text va (VaSet ) xt "1250,5500,1850,6500" @@ -1818,21 +1996,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*58 (Text +*64 (Text va (VaSet ) xt "950,3500,3250,4500" st "Library" blo "950,4300" ) -*59 (Text +*65 (Text va (VaSet ) xt "950,4500,7050,5500" st "VhdlComponent" blo "950,5300" ) -*60 (Text +*66 (Text va (VaSet ) xt "950,5500,1550,6500" @@ -1872,21 +2050,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*61 (Text +*67 (Text va (VaSet ) xt "450,3500,2750,4500" st "Library" blo "450,4300" ) -*62 (Text +*68 (Text va (VaSet ) xt "450,4500,7550,5500" st "VerilogComponent" blo "450,5300" ) -*63 (Text +*69 (Text va (VaSet ) xt "450,5500,1050,6500" @@ -1924,7 +2102,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*64 (Text +*70 (Text va (VaSet ) xt "3400,4000,4600,5000" @@ -1932,7 +2110,7 @@ st "eb1" blo "3400,4800" tm "HdlTextNameMgr" ) -*65 (Text +*71 (Text va (VaSet ) xt "3400,5000,3800,6000" @@ -2329,7 +2507,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*66 (Text +*72 (Text va (VaSet font "Arial,8,1" ) @@ -2337,7 +2515,7 @@ xt "14100,20000,22000,21000" st "Frame Declarations" blo "14100,20800" ) -*67 (MLText +*73 (MLText va (VaSet ) xt "14100,21000,14100,21000" @@ -2389,7 +2567,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*68 (Text +*74 (Text va (VaSet font "Arial,8,1" ) @@ -2397,7 +2575,7 @@ xt "14100,20000,22000,21000" st "Frame Declarations" blo "14100,20800" ) -*69 (MLText +*75 (MLText va (VaSet ) xt "14100,21000,14100,21000" @@ -2503,10 +2681,13 @@ preUserText (MLText uid 5,0 va (VaSet ) -xt "2000,7700,26900,11300" +xt "2000,7700,26900,13700" st "constant bitNb: positive := 16; +constant signalBit: positive := 16; +constant phaseBit: positive := 16; constant clockFrequency: real := 60.0E6; ---constant clockFrequency: real := 66.0E6;" +--constant clockFrequency: real := 66.0E6; +" tm "BdDeclarativeTextMgr" ) diagSignalLabel (Text @@ -2514,9 +2695,9 @@ uid 6,0 va (VaSet font "Arial,8,1" ) -xt "0,11300,8500,12200" +xt "0,13700,8500,14600" st "Diagram Signals:" -blo "0,12000" +blo "0,14400" ) postUserLabel (Text uid 7,0 @@ -2539,46 +2720,46 @@ tm "BdDeclarativeTextMgr" ) commonDM (CommonDM ldm (LogicalDM -suid 9,0 +suid 12,0 usingSuid 1 -emptyRow *70 (LEmptyRow +emptyRow *76 (LEmptyRow ) uid 717,0 optionalChildren [ -*71 (RefLabelRowHdr +*77 (RefLabelRowHdr ) -*72 (TitleRowHdr +*78 (TitleRowHdr ) -*73 (FilterRowHdr +*79 (FilterRowHdr ) -*74 (RefLabelColHdr +*80 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*75 (RowExpandColHdr +*81 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*76 (GroupColHdr +*82 (GroupColHdr tm "GroupColHdrMgr" ) -*77 (NameColHdr +*83 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*78 (ModeColHdr +*84 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*79 (TypeColHdr +*85 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*80 (BoundsColHdr +*86 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*81 (InitColHdr +*87 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*82 (EolColHdr +*88 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*83 (LeafLogPort +*89 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -2590,7 +2771,7 @@ suid 1,0 ) uid 708,0 ) -*84 (LeafLogPort +*90 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -2602,7 +2783,7 @@ suid 2,0 ) uid 710,0 ) -*85 (LeafLogPort +*91 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -2615,7 +2796,7 @@ suid 3,0 ) uid 712,0 ) -*86 (LeafLogPort +*92 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -2627,7 +2808,7 @@ suid 4,0 ) uid 714,0 ) -*87 (LeafLogPort +*93 (LeafLogPort port (LogicalPort m 4 decl (Decl @@ -2640,6 +2821,45 @@ suid 5,0 ) uid 1188,0 ) +*94 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 10,0 +) +) +uid 1271,0 +) +*95 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 11,0 +) +) +uid 1316,0 +) +*96 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "polygon" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 12,0 +) +) +uid 1363,0 +) ] ) pdm (PhysicalDM @@ -2647,7 +2867,7 @@ displayShortBounds 1 editShortBounds 1 uid 730,0 optionalChildren [ -*88 (Sheet +*97 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -2664,62 +2884,80 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *89 (MRCItem -litem &70 -pos 5 +emptyMRCItem *98 (MRCItem +litem &76 +pos 8 dimension 20 ) uid 732,0 optionalChildren [ -*90 (MRCItem -litem &71 +*99 (MRCItem +litem &77 pos 0 dimension 20 uid 733,0 ) -*91 (MRCItem -litem &72 +*100 (MRCItem +litem &78 pos 1 dimension 23 uid 734,0 ) -*92 (MRCItem -litem &73 +*101 (MRCItem +litem &79 pos 2 hidden 1 dimension 20 uid 735,0 ) -*93 (MRCItem -litem &83 +*102 (MRCItem +litem &89 pos 0 dimension 20 uid 709,0 ) -*94 (MRCItem -litem &84 +*103 (MRCItem +litem &90 pos 1 dimension 20 uid 711,0 ) -*95 (MRCItem -litem &85 +*104 (MRCItem +litem &91 pos 2 dimension 20 uid 713,0 ) -*96 (MRCItem -litem &86 +*105 (MRCItem +litem &92 pos 3 dimension 20 uid 715,0 ) -*97 (MRCItem -litem &87 +*106 (MRCItem +litem &93 pos 4 dimension 20 uid 1189,0 ) +*107 (MRCItem +litem &94 +pos 5 +dimension 20 +uid 1272,0 +) +*108 (MRCItem +litem &95 +pos 6 +dimension 20 +uid 1317,0 +) +*109 (MRCItem +litem &96 +pos 7 +dimension 20 +uid 1364,0 +) ] ) sheetCol (SheetCol @@ -2731,50 +2969,50 @@ textAngle 90 ) uid 736,0 optionalChildren [ -*98 (MRCItem -litem &74 +*110 (MRCItem +litem &80 pos 0 dimension 20 uid 737,0 ) -*99 (MRCItem -litem &76 +*111 (MRCItem +litem &82 pos 1 dimension 50 uid 738,0 ) -*100 (MRCItem -litem &77 +*112 (MRCItem +litem &83 pos 2 dimension 100 uid 739,0 ) -*101 (MRCItem -litem &78 +*113 (MRCItem +litem &84 pos 3 dimension 50 uid 740,0 ) -*102 (MRCItem -litem &79 +*114 (MRCItem +litem &85 pos 4 dimension 100 uid 741,0 ) -*103 (MRCItem -litem &80 +*115 (MRCItem +litem &86 pos 5 dimension 100 uid 742,0 ) -*104 (MRCItem -litem &81 +*116 (MRCItem +litem &87 pos 6 dimension 50 uid 743,0 ) -*105 (MRCItem -litem &82 +*117 (MRCItem +litem &88 pos 7 dimension 80 uid 744,0 @@ -2794,38 +3032,38 @@ uid 716,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *106 (LEmptyRow +emptyRow *118 (LEmptyRow ) uid 746,0 optionalChildren [ -*107 (RefLabelRowHdr +*119 (RefLabelRowHdr ) -*108 (TitleRowHdr +*120 (TitleRowHdr ) -*109 (FilterRowHdr +*121 (FilterRowHdr ) -*110 (RefLabelColHdr +*122 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*111 (RowExpandColHdr +*123 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*112 (GroupColHdr +*124 (GroupColHdr tm "GroupColHdrMgr" ) -*113 (NameColHdr +*125 (NameColHdr tm "GenericNameColHdrMgr" ) -*114 (TypeColHdr +*126 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*115 (InitColHdr +*127 (InitColHdr tm "GenericValueColHdrMgr" ) -*116 (PragmaColHdr +*128 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*117 (EolColHdr +*129 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -2833,7 +3071,7 @@ tm "GenericEolColHdrMgr" pdm (PhysicalDM uid 758,0 optionalChildren [ -*118 (Sheet +*130 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -2850,27 +3088,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *119 (MRCItem -litem &106 +emptyMRCItem *131 (MRCItem +litem &118 pos 0 dimension 20 ) uid 760,0 optionalChildren [ -*120 (MRCItem -litem &107 +*132 (MRCItem +litem &119 pos 0 dimension 20 uid 761,0 ) -*121 (MRCItem -litem &108 +*133 (MRCItem +litem &120 pos 1 dimension 23 uid 762,0 ) -*122 (MRCItem -litem &109 +*134 (MRCItem +litem &121 pos 2 hidden 1 dimension 20 @@ -2887,44 +3125,44 @@ textAngle 90 ) uid 764,0 optionalChildren [ -*123 (MRCItem -litem &110 +*135 (MRCItem +litem &122 pos 0 dimension 20 uid 765,0 ) -*124 (MRCItem -litem &112 +*136 (MRCItem +litem &124 pos 1 dimension 50 uid 766,0 ) -*125 (MRCItem -litem &113 +*137 (MRCItem +litem &125 pos 2 dimension 100 uid 767,0 ) -*126 (MRCItem -litem &114 +*138 (MRCItem +litem &126 pos 3 dimension 100 uid 768,0 ) -*127 (MRCItem -litem &115 +*139 (MRCItem +litem &127 pos 4 dimension 50 uid 769,0 ) -*128 (MRCItem -litem &116 +*140 (MRCItem +litem &128 pos 5 dimension 50 uid 770,0 ) -*129 (MRCItem -litem &117 +*141 (MRCItem +litem &129 pos 6 dimension 80 uid 771,0 diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.lck b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.lck index 439fd8d..fe67e30 100644 --- a/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.lck +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd.lck @@ -2,5 +2,5 @@ EDIT_LOCK remi.heredero UNKNOWN WE2330808 -2208 -27.02.2024-10:20:27.896000 +15212 +01.03.2024-13:01:16.350000 diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tester/interface b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tester/interface index e00db4d..e725094 100644 --- a/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tester/interface +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tester/interface @@ -22,7 +22,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 69,0 +suid 84,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -68,10 +68,10 @@ decl (Decl n "clock" t "std_ulogic" o 1 -suid 64,0 +suid 77,0 ) ) -uid 919,0 +uid 1066,0 ) *15 (LogPort port (LogicalPort @@ -80,48 +80,60 @@ decl (Decl n "en" t "std_ulogic" o 2 -suid 65,0 +suid 78,0 ) ) -uid 921,0 +uid 1068,0 ) *16 (LogPort port (LogicalPort +decl (Decl +n "polygon" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 79,0 +) +) +uid 1070,0 +) +*17 (LogPort +port (LogicalPort m 1 decl (Decl n "reset" t "std_ulogic" o 3 -suid 66,0 +suid 80,0 ) ) -uid 923,0 +uid 1072,0 ) -*17 (LogPort +*18 (LogPort port (LogicalPort decl (Decl n "sawtooth" t "unsigned" b "(phaseBitNb-1 DOWNTO 0)" o 5 -suid 67,0 +suid 81,0 ) ) -uid 925,0 +uid 1074,0 ) -*18 (LogPort +*19 (LogPort port (LogicalPort decl (Decl n "square" t "unsigned" b "(signalBitNb-1 DOWNTO 0)" o 6 -suid 68,0 +suid 82,0 ) ) -uid 927,0 +uid 1076,0 ) -*19 (LogPort +*20 (LogPort port (LogicalPort m 1 decl (Decl @@ -129,10 +141,22 @@ n "step" t "unsigned" b "(bitNb-1 DOWNTO 0)" o 4 -suid 69,0 +suid 83,0 ) ) -uid 929,0 +uid 1078,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 84,0 +) +) +uid 1080,0 ) ] ) @@ -141,7 +165,7 @@ displayShortBounds 1 editShortBounds 1 uid 62,0 optionalChildren [ -*20 (Sheet +*22 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -158,67 +182,79 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *21 (MRCItem +emptyMRCItem *23 (MRCItem litem &1 -pos 4 +pos 8 dimension 20 ) uid 64,0 optionalChildren [ -*22 (MRCItem +*24 (MRCItem litem &2 pos 0 dimension 20 uid 65,0 ) -*23 (MRCItem +*25 (MRCItem litem &3 pos 1 dimension 23 uid 66,0 ) -*24 (MRCItem +*26 (MRCItem litem &4 pos 2 hidden 1 dimension 20 uid 67,0 ) -*25 (MRCItem +*27 (MRCItem litem &14 pos 0 dimension 20 -uid 920,0 +uid 1067,0 ) -*26 (MRCItem +*28 (MRCItem litem &15 pos 1 dimension 20 -uid 922,0 +uid 1069,0 ) -*27 (MRCItem +*29 (MRCItem litem &16 pos 2 dimension 20 -uid 924,0 +uid 1071,0 ) -*28 (MRCItem +*30 (MRCItem litem &17 pos 3 dimension 20 -uid 926,0 +uid 1073,0 ) -*29 (MRCItem +*31 (MRCItem litem &18 pos 4 dimension 20 -uid 928,0 +uid 1075,0 ) -*30 (MRCItem +*32 (MRCItem litem &19 pos 5 dimension 20 -uid 930,0 +uid 1077,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 1079,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 1081,0 ) ] ) @@ -231,49 +267,49 @@ textAngle 90 ) uid 68,0 optionalChildren [ -*31 (MRCItem +*35 (MRCItem litem &5 pos 0 dimension 20 uid 69,0 ) -*32 (MRCItem +*36 (MRCItem litem &7 pos 1 dimension 50 uid 70,0 ) -*33 (MRCItem +*37 (MRCItem litem &8 pos 2 dimension 100 uid 71,0 ) -*34 (MRCItem +*38 (MRCItem litem &9 pos 3 dimension 50 uid 72,0 ) -*35 (MRCItem +*39 (MRCItem litem &10 pos 4 dimension 100 uid 73,0 ) -*36 (MRCItem +*40 (MRCItem litem &11 pos 5 dimension 100 uid 74,0 ) -*37 (MRCItem +*41 (MRCItem litem &12 pos 6 dimension 50 uid 75,0 ) -*38 (MRCItem +*42 (MRCItem litem &13 pos 7 dimension 80 @@ -294,41 +330,41 @@ uid 48,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *39 (LEmptyRow +emptyRow *43 (LEmptyRow ) uid 78,0 optionalChildren [ -*40 (RefLabelRowHdr +*44 (RefLabelRowHdr ) -*41 (TitleRowHdr +*45 (TitleRowHdr ) -*42 (FilterRowHdr +*46 (FilterRowHdr ) -*43 (RefLabelColHdr +*47 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*44 (RowExpandColHdr +*48 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*45 (GroupColHdr +*49 (GroupColHdr tm "GroupColHdrMgr" ) -*46 (NameColHdr +*50 (NameColHdr tm "GenericNameColHdrMgr" ) -*47 (TypeColHdr +*51 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*48 (InitColHdr +*52 (InitColHdr tm "GenericValueColHdrMgr" ) -*49 (PragmaColHdr +*53 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*50 (EolColHdr +*54 (EolColHdr tm "GenericEolColHdrMgr" ) -*51 (LogGeneric +*55 (LogGeneric generic (GiElement name "bitNb" type "positive" @@ -336,7 +372,7 @@ value "16" ) uid 229,0 ) -*52 (LogGeneric +*56 (LogGeneric generic (GiElement name "clockFrequency" type "real" @@ -344,6 +380,22 @@ value "60.0E6" ) uid 611,0 ) +*57 (LogGeneric +generic (GiElement +name "phaseBitNb" +type "positive" +value "16" +) +uid 1105,0 +) +*58 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 1107,0 +) ] ) pdm (PhysicalDM @@ -351,7 +403,7 @@ displayShortBounds 1 editShortBounds 1 uid 90,0 optionalChildren [ -*53 (Sheet +*59 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -368,44 +420,56 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *54 (MRCItem -litem &39 -pos 2 +emptyMRCItem *60 (MRCItem +litem &43 +pos 4 dimension 20 ) uid 92,0 optionalChildren [ -*55 (MRCItem -litem &40 +*61 (MRCItem +litem &44 pos 0 dimension 20 uid 93,0 ) -*56 (MRCItem -litem &41 +*62 (MRCItem +litem &45 pos 1 dimension 23 uid 94,0 ) -*57 (MRCItem -litem &42 +*63 (MRCItem +litem &46 pos 2 hidden 1 dimension 20 uid 95,0 ) -*58 (MRCItem -litem &51 +*64 (MRCItem +litem &55 pos 0 dimension 20 uid 230,0 ) -*59 (MRCItem -litem &52 +*65 (MRCItem +litem &56 pos 1 dimension 20 uid 612,0 ) +*66 (MRCItem +litem &57 +pos 2 +dimension 20 +uid 1106,0 +) +*67 (MRCItem +litem &58 +pos 3 +dimension 20 +uid 1108,0 +) ] ) sheetCol (SheetCol @@ -417,44 +481,44 @@ textAngle 90 ) uid 96,0 optionalChildren [ -*60 (MRCItem -litem &43 +*68 (MRCItem +litem &47 pos 0 dimension 20 uid 97,0 ) -*61 (MRCItem -litem &45 +*69 (MRCItem +litem &49 pos 1 dimension 50 uid 98,0 ) -*62 (MRCItem -litem &46 +*70 (MRCItem +litem &50 pos 2 dimension 100 uid 99,0 ) -*63 (MRCItem -litem &47 +*71 (MRCItem +litem &51 pos 3 dimension 100 uid 100,0 ) -*64 (MRCItem -litem &48 +*72 (MRCItem +litem &52 pos 4 dimension 50 uid 101,0 ) -*65 (MRCItem -litem &49 +*73 (MRCItem +litem &53 pos 5 dimension 50 uid 102,0 ) -*66 (MRCItem -litem &50 +*74 (MRCItem +litem &54 pos 6 dimension 80 uid 103,0 @@ -529,19 +593,19 @@ value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGen ) (vvPair variable "date" -value "27.02.2024" +value "01.03.2024" ) (vvPair variable "day" -value "mar." +value "ven." ) (vvPair variable "day_long" -value "mardi" +value "vendredi" ) (vvPair variable "dd" -value "27" +value "01" ) (vvPair variable "designName" @@ -573,7 +637,7 @@ value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "27.02.2024" +value "01.03.2024" ) (vvPair variable "graphical_source_group" @@ -585,7 +649,7 @@ value "WE2330808" ) (vvPair variable "graphical_source_time" -value "11:05:34" +value "13:12:24" ) (vvPair variable "group" @@ -609,7 +673,7 @@ value "$SCRATCH_DIR/WaveformGenerator_test" ) (vvPair variable "mm" -value "02" +value "03" ) (vvPair variable "module_name" @@ -617,11 +681,11 @@ value "waveformGen_tester" ) (vvPair variable "month" -value "févr." +value "mars" ) (vvPair variable "month_long" -value "février" +value "mars" ) (vvPair variable "p" @@ -709,7 +773,7 @@ value "interface" ) (vvPair variable "time" -value "11:05:34" +value "13:12:24" ) (vvPair variable "unit" @@ -740,14 +804,14 @@ value "24" LanguageMgr "Vhdl2008LangMgr" uid 47,0 optionalChildren [ -*67 (SymbolBody +*75 (SymbolBody uid 8,0 optionalChildren [ -*68 (CptPort -uid 889,0 +*76 (CptPort +uid 1026,0 ps "OnEdgeStrategy" shape (Triangle -uid 890,0 +uid 1027,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -755,11 +819,11 @@ fg "0,65535,0" xt "28625,5250,29375,6000" ) tg (CPTG -uid 891,0 +uid 1028,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 892,0 +uid 1029,0 ro 270 va (VaSet font "Verdana,12,0" @@ -772,13 +836,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 893,0 +uid 1030,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3600,61000,4400" -st "clock : OUT std_ulogic ; -" +xt "44000,5200,61000,6000" +st "clock : OUT std_ulogic ;" ) thePort (LogicalPort m 1 @@ -786,15 +849,15 @@ decl (Decl n "clock" t "std_ulogic" o 1 -suid 64,0 +suid 77,0 ) ) ) -*69 (CptPort -uid 894,0 +*77 (CptPort +uid 1031,0 ps "OnEdgeStrategy" shape (Triangle -uid 895,0 +uid 1032,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -802,11 +865,11 @@ fg "0,65535,0" xt "26625,5250,27375,6000" ) tg (CPTG -uid 896,0 +uid 1033,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 897,0 +uid 1034,0 ro 270 va (VaSet font "Verdana,12,0" @@ -819,13 +882,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 898,0 +uid 1035,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4400,61000,5200" -st "en : OUT std_ulogic ; -" +xt "44000,6000,61000,6800" +st "en : OUT std_ulogic ;" ) thePort (LogicalPort m 1 @@ -833,15 +895,62 @@ decl (Decl n "en" t "std_ulogic" o 2 -suid 65,0 +suid 78,0 ) ) ) -*70 (CptPort -uid 899,0 +*78 (CptPort +uid 1036,0 ps "OnEdgeStrategy" shape (Triangle -uid 900,0 +uid 1037,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55625,5250,56375,6000" +) +tg (CPTG +uid 1038,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1039,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "55300,7000,56700,12800" +st "polygon" +ju 2 +blo "56500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1040,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,72500,2800" +st "polygon : IN unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "polygon" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 79,0 +) +) +) +*79 (CptPort +uid 1041,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1042,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -849,11 +958,11 @@ fg "0,65535,0" xt "30625,5250,31375,6000" ) tg (CPTG -uid 901,0 +uid 1043,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 902,0 +uid 1044,0 ro 270 va (VaSet font "Verdana,12,0" @@ -866,13 +975,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 903,0 +uid 1045,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5200,61000,6000" -st "reset : OUT std_ulogic ; -" +xt "44000,6800,61000,7600" +st "reset : OUT std_ulogic ;" ) thePort (LogicalPort m 1 @@ -880,15 +988,15 @@ decl (Decl n "reset" t "std_ulogic" o 3 -suid 66,0 +suid 80,0 ) ) ) -*71 (CptPort -uid 904,0 +*80 (CptPort +uid 1046,0 ps "OnEdgeStrategy" shape (Triangle -uid 905,0 +uid 1047,0 ro 180 va (VaSet vasetType 1 @@ -897,11 +1005,11 @@ fg "0,65535,0" xt "63625,5250,64375,6000" ) tg (CPTG -uid 906,0 +uid 1048,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 907,0 +uid 1049,0 ro 270 va (VaSet font "Verdana,12,0" @@ -914,13 +1022,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 908,0 +uid 1050,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2000,72000,2800" -st "sawtooth : IN unsigned (phaseBitNb-1 DOWNTO 0) ; -" +xt "44000,2800,72000,3600" +st "sawtooth : IN unsigned (phaseBitNb-1 DOWNTO 0) ;" ) thePort (LogicalPort decl (Decl @@ -928,15 +1035,15 @@ n "sawtooth" t "unsigned" b "(phaseBitNb-1 DOWNTO 0)" o 5 -suid 67,0 +suid 81,0 ) ) ) -*72 (CptPort -uid 909,0 +*81 (CptPort +uid 1051,0 ps "OnEdgeStrategy" shape (Triangle -uid 910,0 +uid 1052,0 ro 180 va (VaSet vasetType 1 @@ -945,11 +1052,11 @@ fg "0,65535,0" xt "60625,5250,61375,6000" ) tg (CPTG -uid 911,0 +uid 1053,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 912,0 +uid 1054,0 ro 270 va (VaSet font "Verdana,12,0" @@ -962,13 +1069,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 913,0 +uid 1055,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2800,72500,3600" -st "square : IN unsigned (signalBitNb-1 DOWNTO 0) ; -" +xt "44000,3600,72500,4400" +st "square : IN unsigned (signalBitNb-1 DOWNTO 0) ;" ) thePort (LogicalPort decl (Decl @@ -976,15 +1082,15 @@ n "square" t "unsigned" b "(signalBitNb-1 DOWNTO 0)" o 6 -suid 68,0 +suid 82,0 ) ) ) -*73 (CptPort -uid 914,0 +*82 (CptPort +uid 1056,0 ps "OnEdgeStrategy" shape (Triangle -uid 915,0 +uid 1057,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -992,11 +1098,11 @@ fg "0,65535,0" xt "22625,5250,23375,6000" ) tg (CPTG -uid 916,0 +uid 1058,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 917,0 +uid 1059,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1009,13 +1115,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 918,0 +uid 1060,0 va (VaSet font "Courier New,8,0" ) -xt "44000,6000,68500,6800" -st "step : OUT unsigned (bitNb-1 DOWNTO 0) -" +xt "44000,7600,68500,8400" +st "step : OUT unsigned (bitNb-1 DOWNTO 0)" ) thePort (LogicalPort m 1 @@ -1024,7 +1129,54 @@ n "step" t "unsigned" b "(bitNb-1 DOWNTO 0)" o 4 -suid 69,0 +suid 83,0 +) +) +) +*83 (CptPort +uid 1061,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1062,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57625,5250,58375,6000" +) +tg (CPTG +uid 1063,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1064,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "57300,7000,58700,12600" +st "triangle" +ju 2 +blo "58500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1065,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,72500,5200" +st "triangle : IN unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 84,0 ) ) ) @@ -1062,7 +1214,7 @@ st "waveformGen_tester" blo "35650,10800" ) ) -gi *74 (GenericInterface +gi *84 (GenericInterface uid 13,0 ps "CenterOffsetStrategy" matrix (Matrix @@ -1072,11 +1224,14 @@ uid 15,0 va (VaSet font "Courier New,8,0" ) -xt "17000,6000,34500,9200" +xt "17000,6000,34500,10800" st "Generic Declarations bitNb positive 16 -clockFrequency real 60.0E6 " +clockFrequency real 60.0E6 +phaseBitNb positive 16 +signalBitNb positive 16 +" ) header "Generic Declarations" showHdrWhenContentsEmpty 1 @@ -1092,6 +1247,16 @@ name "clockFrequency" type "real" value "60.0E6" ) +(GiElement +name "phaseBitNb" +type "positive" +value "16" +) +(GiElement +name "signalBitNb" +type "positive" +value "16" +) ] ) portInstanceVisAsIs 1 @@ -1116,11 +1281,11 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *75 (PackageList +packageList *85 (PackageList uid 16,0 stg "VerticalLayoutStrategy" textVec [ -*76 (Text +*86 (Text uid 17,0 va (VaSet font "arial,8,1" @@ -1129,7 +1294,7 @@ xt "0,0,5400,1000" st "Package List" blo "0,800" ) -*77 (MLText +*87 (MLText uid 18,0 va (VaSet ) @@ -1141,9 +1306,9 @@ tm "PackageList" ) ] ) -windowSize "0,0,1016,690" -viewArea "-500,-500,70420,47560" -cachedDiagramExtent "0,0,67000,14000" +windowSize "96,54,1113,744" +viewArea "-500,-500,70510,47560" +cachedDiagramExtent "0,0,72500,14000" hasePageBreakOrigin 1 pageBreakOrigin "0,0" defaultCommentText (CommentText @@ -1256,7 +1421,7 @@ st "" blo "22200,16800" ) ) -gi *78 (GenericInterface +gi *88 (GenericInterface ps "CenterOffsetStrategy" matrix (Matrix text (MLText @@ -1357,7 +1522,7 @@ o 0 ) ) ) -DeclarativeBlock *79 (SymDeclBlock +DeclarativeBlock *89 (SymDeclBlock uid 1,0 stg "SymDeclLayoutStrategy" declLabel (Text @@ -1383,9 +1548,9 @@ uid 4,0 va (VaSet font "Arial,8,1" ) -xt "42000,6800,44400,7800" +xt "42000,8400,44400,9400" st "User:" -blo "42000,7600" +blo "42000,9200" ) internalLabel (Text uid 6,0 @@ -1402,7 +1567,7 @@ uid 5,0 va (VaSet font "Courier New,8,0" ) -xt "44000,7800,44000,7800" +xt "44000,9400,44000,9400" tm "SyDeclarativeTextMgr" ) internalText (MLText @@ -1415,6 +1580,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 930,0 +lastUid 1108,0 activeModelName "Symbol:GEN" ) diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tester/interface.bak b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tester/interface.bak new file mode 100644 index 0000000..0b1601c --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tester/interface.bak @@ -0,0 +1,1552 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 84,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 49,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 77,0 +) +) +uid 1066,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 78,0 +) +) +uid 1068,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "polygon" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 79,0 +) +) +uid 1070,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 80,0 +) +) +uid 1072,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 5 +suid 81,0 +) +) +uid 1074,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 82,0 +) +) +uid 1076,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 83,0 +) +) +uid 1078,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 84,0 +) +) +uid 1080,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 64,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 65,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 66,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 1067,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 1069,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 1071,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 1073,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 1075,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 1077,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 1079,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 1081,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 69,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 70,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 71,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 73,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 75,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 76,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 48,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 78,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 229,0 +) +*56 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +uid 611,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 90,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 92,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 93,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 94,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 95,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 230,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 612,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 97,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 98,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 99,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 100,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 101,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 102,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 91,0 +vaOverrides [ +] +) +] +) +uid 77,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tester" +) +(vvPair +variable "date" +value "01.03.2024" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "01" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "waveformGen_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "remi.heredero" +) +(vvPair +variable "graphical_source_date" +value "01.03.2024" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE2330808" +) +(vvPair +variable "graphical_source_time" +value "13:01:45" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE2330808" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator_test" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "waveformGen_tester" +) +(vvPair +variable "month" +value "mars" +) +(vvPair +variable "month_long" +value "mars" +) +(vvPair +variable "p" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:01:45" +) +(vvPair +variable "unit" +value "waveformGen_tester" +) +(vvPair +variable "user" +value "remi.heredero" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2024" +) +(vvPair +variable "yy" +value "24" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 47,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 1026,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1027,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 1028,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1029,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,10800" +st "clock" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1030,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,61000,6000" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 77,0 +) +) +) +*73 (CptPort +uid 1031,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1032,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 1033,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1034,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,9400" +st "en" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1035,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,61000,6800" +st "en : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 78,0 +) +) +) +*74 (CptPort +uid 1036,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1037,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55625,5250,56375,6000" +) +tg (CPTG +uid 1038,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1039,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "55300,7000,56700,12800" +st "polygon" +ju 2 +blo "56500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1040,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,72500,2800" +st "polygon : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "polygon" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 79,0 +) +) +) +*75 (CptPort +uid 1041,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1042,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 1043,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1044,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,11100" +st "reset" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1045,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,61000,7600" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 80,0 +) +) +) +*76 (CptPort +uid 1046,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1047,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63625,5250,64375,6000" +) +tg (CPTG +uid 1048,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1049,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "63300,7000,64700,13800" +st "sawtooth" +ju 2 +blo "64500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1050,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,72000,3600" +st "sawtooth : IN unsigned (phaseBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 5 +suid 81,0 +) +) +) +*77 (CptPort +uid 1051,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1052,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 1053,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1054,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "60300,7000,61700,12200" +st "square" +ju 2 +blo "61500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1055,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,72500,4400" +st "square : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 82,0 +) +) +) +*78 (CptPort +uid 1056,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1057,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 1058,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1059,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,10600" +st "step" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1060,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,68500,8400" +st "step : OUT unsigned (bitNb-1 DOWNTO 0) +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 83,0 +) +) +) +*79 (CptPort +uid 1061,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1062,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57625,5250,58375,6000" +) +tg (CPTG +uid 1063,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1064,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "57300,7000,58700,12600" +st "triangle" +ju 2 +blo "58500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1065,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,72500,5200" +st "triangle : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 84,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,67000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "35650,9000,46350,10000" +st "WaveformGenerator_test" +blo "35650,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "35650,10000,44050,11000" +st "waveformGen_tester" +blo "35650,10800" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "17000,6000,34500,9200" +st "Generic Declarations + +bitNb positive 16 +clockFrequency real 60.0E6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +(GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 17,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 18,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,1016,690" +viewArea "-500,-500,70420,47560" +cachedDiagramExtent "0,0,67000,14000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "WaveformGenerator_test" +entityName "waveformGen_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "arial,8,0" +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "arial,8,0" +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,8400,44400,9400" +st "User:" +blo "42000,9200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9400,44000,9400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 1081,0 +activeModelName "Symbol:GEN" +)