diff --git a/05-Morse/Board/hds/.cache.dat b/05-Morse/Board/hds/.cache.dat new file mode 100644 index 0000000..f2dff9f Binary files /dev/null and b/05-Morse/Board/hds/.cache.dat differ diff --git a/05-Morse/Morse/hdl/charToMorseController_studentVersion.vhd b/05-Morse/Morse/hdl/charToMorseController_studentVersion.vhd index b4595f2..0ec8085 100644 --- a/05-Morse/Morse/hdl/charToMorseController_studentVersion.vhd +++ b/05-Morse/Morse/hdl/charToMorseController_studentVersion.vhd @@ -34,10 +34,13 @@ TYPE SENDING_STATE_TYPE IS ( sendR4, waitR4, sendR5, + waitSpace, waitEndWord ); signal sending_current_state, sending_next_state : SENDING_STATE_TYPE; +signal signSendRegisters, signRegistersSended: std_ulogic; + BEGIN ------------------------------------------------------------------------------ -- conditions for morse units @@ -77,6 +80,7 @@ BEGIN is7 <= '1' when std_match(unsigned(char), "011" & x"7") else '0'; -- 011 0111 is8 <= '1' when std_match(unsigned(char), "011" & x"8") else '0'; -- 011 1000 is9 <= '1' when std_match(unsigned(char), "011" & x"9") else '0'; -- 011 1001 + ------------------------------------------------------------------------------ process(reset, clock) begin if reset = '1' then @@ -89,25 +93,33 @@ BEGIN end process; - process(general_current_state) begin + process(reset, clock) begin case general_current_state is when waitForChar => - register1 <= END_WORD; - register2 <= END_WORD; - register3 <= END_WORD; - register4 <= END_WORD; - register5 <= END_WORD; + --report "General current state is wait for char" severity note; + register1 <= SPACE; + register2 <= SPACE; + register3 <= SPACE; + register4 <= SPACE; + register5 <= SPACE; + signSendRegisters <= '0'; if charNotReady = '0' then general_next_state <= storeChar; + readChar <= '1'; + report "charReady" severity note; else general_next_state <= waitForChar; + readChar <= '0'; + --report "charNotReady" severity note; end if; when storeChar => + report "General current state is store char" severity note; if isA then register1 <= SHORT; register2 <= LONG; general_next_state <= sendRegisters; + report "New char: A" severity note; elsif isB then register1 <= LONG; register2 <= SHORT; @@ -128,6 +140,7 @@ BEGIN elsif isE then register1 <= SHORT; general_next_state <= sendRegisters; + report "New char: E" severity note; elsif isF then register1 <= SHORT; register2 <= SHORT; @@ -149,6 +162,7 @@ BEGIN register1 <= SHORT; register2 <= SHORT; general_next_state <= sendRegisters; + report "New char: I" severity note; elsif isJ then register1 <= SHORT; register2 <= LONG; @@ -170,6 +184,7 @@ BEGIN register1 <= LONG; register2 <= LONG; general_next_state <= sendRegisters; + report "New char: M" severity note; elsif isN then register1 <= LONG; register2 <= SHORT; @@ -204,6 +219,7 @@ BEGIN elsif isT then register1 <= LONG; general_next_state <= sendRegisters; + report "New char: T" severity note; elsif isU then register1 <= SHORT; register2 <= SHORT; @@ -309,36 +325,202 @@ BEGIN register5 <= SHORT; general_next_state <= sendRegisters; else - register1 <= END_WORD; - register2 <= END_WORD; - register3 <= END_WORD; - register4 <= END_WORD; - register5 <= END_WORD; + register1 <= SPACE; + register2 <= SPACE; + register3 <= SPACE; + register4 <= SPACE; + register5 <= SPACE; general_next_state <= storeChar; + report "Char look not correct" severity warning; end if; + signSendRegisters <= '1'; when sendRegisters => - sending_next_state <= sendR1; + --report "General current state is send registers" severity note; + readChar <= '0'; + signSendRegisters <= '0'; + if signRegistersSended then + general_next_state <= sended; + report "Char is send" severity note; + end if; when sended => - register1 <= END_WORD; - register2 <= END_WORD; - register3 <= END_WORD; - register4 <= END_WORD; - register5 <= END_WORD; + --report "General current state is sended" severity note; + general_next_state <= waitForChar; + register1 <= SPACE; + register2 <= SPACE; + register3 <= SPACE; + register4 <= SPACE; + register5 <= SPACE; WHEN OTHERS => + report "General current state is BROKEN" severity warning; general_next_state <= waitForChar; end case; end process; - process(sending_current_state) begin + process(reset, clock) begin + case sending_current_state is + when waiting => + morseOut <= '0'; + startCounter <= '0'; + unitNb <= "000"; + signRegistersSended <= '0'; + if signSendRegisters = '1' then + sending_next_state <= sendR1; + report "Start to send new char" severity note; + else + sending_next_state <= waiting; + end if; + + when sendR1 => + report "Send register1" severity note; + startCounter <= '1'; + morseOut <= '1'; + case register1 is + when SHORT => + unitNb <= "001"; + when LONG => + unitNb <= "011"; + when others => + report "Error when sending register1" severity error; + end case; + + case register2 is + when SPACE => + sending_next_state <= waitSpace; + when END_WORD => + sending_next_state <= waitEndWord; + when others => + sending_next_state <= waitR1; + end case; + + when waitR1 => + report "Wait register1" severity note; + startCounter <= '0'; + if counterDone = '1' then + morseOut <= '0'; + sending_next_state <= sendR2; + end if; + + when sendR2 => + report "Send register2" severity note; + startCounter <= '1'; + morseOut <= '1'; + case register2 is + when SHORT => + unitNb <= "001"; + when LONG => + unitNb <= "011"; + when others => + report "Error when sending register2" severity error; + end case; + + case register3 is + when SPACE => + sending_next_state <= waitSpace; + when END_WORD => + sending_next_state <= waitEndWord; + when others => + sending_next_state <= waitR2; + end case; + + when waitR2 => + report "Wait register2" severity note; + startCounter <= '0'; + if counterDone = '1' then + morseOut <= '0'; + sending_next_state <= sendR3; + end if; + + when sendR3 => + report "Send register3" severity note; + startCounter <= '1'; + morseOut <= '1'; + case register3 is + when SHORT => + unitNb <= "001"; + when LONG => + unitNb <= "011"; + when others => + report "Error when sending register3" severity error; + end case; + + case register4 is + when SPACE => + sending_next_state <= waitSpace; + when END_WORD => + sending_next_state <= waitEndWord; + when others => + sending_next_state <= waitR3; + end case; + + when waitR3 => + startCounter <= '0'; + if counterDone = '1' then + morseOut <= '0'; + sending_next_state <= sendR4; + end if; + + when sendR4 => + startCounter <= '1'; + morseOut <= '1'; + case register4 is + when SHORT => + unitNb <= "001"; + when LONG => + unitNb <= "011"; + when others => + report "Error when sending register4" severity error; + end case; + + case register5 is + when SPACE => + sending_next_state <= waitSpace; + when END_WORD => + sending_next_state <= waitEndWord; + when others => + sending_next_state <= waitR4; + end case; + + when waitR4 => + startCounter <= '0'; + if counterDone = '1' then + morseOut <= '0'; + sending_next_state <= sendR5; + end if; + + when sendR5 => + startCounter <= '1'; + morseOut <= '1'; + case register5 is + when SHORT => + unitNb <= "001"; + when LONG => + unitNb <= "011"; + when others => + report "Error when sending register5" severity error; + end case; + + sending_next_state <= waitSpace; + + when waitSpace => + startCounter <= '0'; + if counterDone = '1' then + morseOut <= '0'; + sending_next_state <= waiting; + end if; + signRegistersSended <= '1'; + + when waitEndWord => + sending_next_state <= waiting; + when others => + sending_next_state <= waiting; + + end case; end process; - morseOut <= '0'; - startCounter <= '0'; - unitNb <= (others => '-'); END ARCHITECTURE studentVersion; diff --git a/05-Morse/Morse/hdl/chartomorse_entity.vhg b/05-Morse/Morse/hdl/chartomorse_entity.vhg index 4af39fb..eacb555 100644 --- a/05-Morse/Morse/hdl/chartomorse_entity.vhg +++ b/05-Morse/Morse/hdl/chartomorse_entity.vhg @@ -1,8 +1,8 @@ -- VHDL Entity Morse.charToMorse.symbol -- -- Created: --- by - axel.amand.UNKNOWN (WE7860) --- at - 14:49:52 28.04.2023 +-- by - remi.heredero.UNKNOWN (WE2330808) +-- at - 13:09:12 10.04.2024 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) -- diff --git a/05-Morse/Morse/hdl/chartomorse_struct.vhg b/05-Morse/Morse/hdl/chartomorse_struct.vhg index f54bd2a..ce25d8f 100644 --- a/05-Morse/Morse/hdl/chartomorse_struct.vhg +++ b/05-Morse/Morse/hdl/chartomorse_struct.vhg @@ -2,8 +2,8 @@ -- VHDL Architecture Morse.charToMorse.struct -- -- Created: --- by - axel.amand.UNKNOWN (WE7860) --- at - 14:49:52 28.04.2023 +-- by - remi.heredero.UNKNOWN (WE2330808) +-- at - 13:09:12 10.04.2024 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) -- diff --git a/05-Morse/Morse/hds/.cache.dat b/05-Morse/Morse/hds/.cache.dat new file mode 100644 index 0000000..c06eb7a Binary files /dev/null and b/05-Morse/Morse/hds/.cache.dat differ diff --git a/05-Morse/Morse/hds/char@to@morse/struct.bd b/05-Morse/Morse/hds/char@to@morse/struct.bd index 33e3f89..5ab76c7 100644 --- a/05-Morse/Morse/hds/char@to@morse/struct.bd +++ b/05-Morse/Morse/hds/char@to@morse/struct.bd @@ -64,23 +64,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hdl" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\05-Morse\\Prefs\\..\\Morse\\hdl" ) (vvPair variable "HDSDir" -value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\05-Morse\\Prefs\\..\\Morse\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\struct.bd.info" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\struct.bd.info" ) (vvPair variable "SideDataUserDir" -value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\struct.bd.user" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\struct.bd.user" ) (vvPair variable "SourceDir" -value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\05-Morse\\Prefs\\..\\Morse\\hds" ) (vvPair variable "appl" @@ -104,27 +104,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse" ) (vvPair variable "d_logical" -value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\charToMorse" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\05-Morse\\Prefs\\..\\Morse\\hds\\charToMorse" ) (vvPair variable "date" -value "28.04.2023" +value "10.04.2024" ) (vvPair variable "day" -value "ven." +value "mer." ) (vvPair variable "day_long" -value "vendredi" +value "mercredi" ) (vvPair variable "dd" -value "28" +value "10" ) (vvPair variable "designName" @@ -152,11 +152,11 @@ value "struct" ) (vvPair variable "graphical_source_author" -value "axel.amand" +value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "28.04.2023" +value "10.04.2024" ) (vvPair variable "graphical_source_group" @@ -164,11 +164,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "WE7860" +value "WE2330808" ) (vvPair variable "graphical_source_time" -value "14:49:52" +value "13:09:12" ) (vvPair variable "group" @@ -176,7 +176,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "WE7860" +value "WE2330808" ) (vvPair variable "language" @@ -187,6 +187,10 @@ variable "library" value "Morse" ) (vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Morse/concat" +) +(vvPair variable "library_downstream_ModelSimCompiler" value "$SCRATCH_DIR/Morse" ) @@ -208,11 +212,11 @@ value "avril" ) (vvPair variable "p" -value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\struct.bd" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\struct.bd" ) (vvPair variable "p_logical" -value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\charToMorse\\struct.bd" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\05-Morse\\Prefs\\..\\Morse\\hds\\charToMorse\\struct.bd" ) (vvPair variable "package_name" @@ -264,7 +268,7 @@ value "struct" ) (vvPair variable "time" -value "14:49:52" +value "13:09:12" ) (vvPair variable "unit" @@ -272,7 +276,7 @@ value "charToMorse" ) (vvPair variable "user" -value "axel.amand" +value "remi.heredero" ) (vvPair variable "version" @@ -284,11 +288,11 @@ value "struct" ) (vvPair variable "year" -value "2023" +value "2024" ) (vvPair variable "yy" -value "23" +value "24" ) ] ) @@ -1692,7 +1696,7 @@ text (MLText uid 814,0 va (VaSet ) -xt "15000,42600,41700,45000" +xt "12000,42600,38700,45000" st "characterBitNb = characterBitNb ( positive ) unitCountBitNb = unitCountBitNb ( positive ) " ) @@ -1906,9 +1910,9 @@ f (Text uid 370,0 va (VaSet ) -xt "33750,30000,41550,31200" +xt "34000,29800,41800,31000" st "startCounter" -blo "33750,31000" +blo "34000,30800" tm "WireNameMgr" ) ) @@ -1986,9 +1990,9 @@ f (Text uid 382,0 va (VaSet ) -xt "33750,32000,37750,33200" +xt "34000,31800,38000,33000" st "unitNb" -blo "33750,33000" +blo "34000,32800" tm "WireNameMgr" ) ) @@ -2246,8 +2250,8 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "-8,-8,1928,1048" -viewArea "-8435,-1430,118740,67667" +windowSize "301,100,1557,827" +viewArea "-750,11425,76350,54400" cachedDiagramExtent "-7000,0,90000,66000" pageSetupInfo (PageSetupInfo ptrCmd "" @@ -2274,7 +2278,7 @@ exportStdPackageRefs 1 ) hasePageBreakOrigin 1 pageBreakOrigin "-7000,0" -lastUid 923,0 +lastUid 950,0 defaultCommentText (CommentText shape (Rectangle layer 0 diff --git a/05-Morse/Morse/hds/char@to@morse/struct.bd.bak b/05-Morse/Morse/hds/char@to@morse/struct.bd.bak new file mode 100644 index 0000000..33e3f89 --- /dev/null +++ b/05-Morse/Morse/hds/char@to@morse/struct.bd.bak @@ -0,0 +1,3809 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_cnt" +duLibraryName "Morse" +duName "unitCounter" +elements [ +(GiElement +name "unitCountDivide" +type "positive" +value "unitCountDivide" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "unitCountBitNb" +) +] +mwi 0 +uid 427,0 +) +(Instance +name "I_ctl" +duLibraryName "Morse" +duName "charToMorseController" +elements [ +(GiElement +name "characterBitNb" +type "positive" +value "characterBitNb" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "unitCountBitNb" +) +] +mwi 0 +uid 806,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\charToMorse" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "charToMorse" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:49:52" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "charToMorse" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\charToMorse\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:49:52" +) +(vvPair +variable "unit" +value "charToMorse" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 134,0 +optionalChildren [ +*1 (PortIoOut +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "39500,26625,41000,27375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "39000,27000,39500,27000" +pts [ +"39000,27000" +"39500,27000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +) +xt "42000,26500,47700,27700" +st "morseOut" +blo "42000,27500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "morseOut" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +) +xt "-5000,6800,9500,8000" +st "morseOut : std_ulogic" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "5000,34625,6500,35375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "6500,35000,7000,35000" +pts [ +"6500,35000" +"7000,35000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +isHidden 1 +) +xt "600,34500,4000,35700" +st "clock" +ju 2 +blo "4000,35500" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +) +xt "-5000,7700,8100,8900" +st "clock : std_ulogic" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "5000,36625,6500,37375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "6500,37000,7000,37000" +pts [ +"6500,37000" +"7000,37000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +) +xt "700,36500,4000,37700" +st "reset" +ju 2 +blo "4000,37500" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +) +xt "-5000,8600,8000,9800" +st "reset : std_ulogic" +) +) +*7 (PortIoIn +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "5000,26625,6500,27375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "6500,27000,7000,27000" +pts [ +"6500,27000" +"7000,27000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +isHidden 1 +) +xt "0,26500,4000,27700" +st "charIn" +ju 2 +blo "4000,27500" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 77,0 +decl (Decl +n "charIn" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +) +xt "-5000,9500,28800,10700" +st "charIn : std_ulogic_vector(characterBitNb-1 DOWNTO 0)" +) +) +*9 (Grouping +uid 91,0 +optionalChildren [ +*10 (CommentText +uid 93,0 +shape (Rectangle +uid 94,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,65000,70000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 95,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,65500,53200,65500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 96,0 +shape (Rectangle +uid 97,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "70000,61000,74000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 98,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "70200,61500,70200,61500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 99,0 +shape (Rectangle +uid 100,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,63000,70000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 101,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,63500,53200,63500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 102,0 +shape (Rectangle +uid 103,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,63000,53000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 104,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "49200,63500,49200,63500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 105,0 +shape (Rectangle +uid 106,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "70000,62000,90000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 107,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "70200,62200,84300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 108,0 +shape (Rectangle +uid 109,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,61000,90000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 110,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,61500,74200,61500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 111,0 +shape (Rectangle +uid 112,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,61000,70000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 113,0 +va (VaSet +fg "32768,0,0" +) +xt "54350,61400,64650,62600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 114,0 +shape (Rectangle +uid 115,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,64000,53000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 116,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "49200,64500,49200,64500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 117,0 +shape (Rectangle +uid 118,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,65000,53000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 119,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "49200,65500,49200,65500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*19 (CommentText +uid 120,0 +shape (Rectangle +uid 121,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,64000,70000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 122,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,64500,53200,64500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 92,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "49000,61000,90000,66000" +) +oxt "14000,66000,55000,71000" +) +*20 (Net +uid 365,0 +decl (Decl +n "startCounter" +t "std_ulogic" +o 6 +suid 6,0 +) +declText (MLText +uid 366,0 +va (VaSet +) +xt "-5000,14900,14200,16100" +st "SIGNAL startCounter : std_ulogic" +) +) +*21 (Net +uid 371,0 +decl (Decl +n "done" +t "std_ulogic" +o 7 +suid 7,0 +) +declText (MLText +uid 372,0 +va (VaSet +) +xt "-5000,15800,12600,17000" +st "SIGNAL done : std_ulogic" +) +) +*22 (Net +uid 377,0 +decl (Decl +n "unitNb" +t "unsigned" +b "(unitCountBitNb-1 downto 0)" +o 8 +suid 8,0 +) +declText (MLText +uid 378,0 +va (VaSet +) +xt "-5000,16700,27400,17900" +st "SIGNAL unitNb : unsigned(unitCountBitNb-1 downto 0)" +) +) +*23 (SaComponent +uid 427,0 +optionalChildren [ +*24 (CptPort +uid 407,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 408,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,36625,47000,37375" +) +tg (CPTG +uid 409,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 410,0 +va (VaSet +) +xt "48000,36400,51400,37600" +st "clock" +blo "48000,37400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2008,0 +) +) +) +*25 (CptPort +uid 411,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 412,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,38625,47000,39375" +) +tg (CPTG +uid 413,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 414,0 +va (VaSet +) +xt "48000,38400,51300,39600" +st "reset" +blo "48000,39400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2009,0 +) +) +) +*26 (CptPort +uid 415,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 416,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,30625,47000,31375" +) +tg (CPTG +uid 417,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 418,0 +va (VaSet +) +xt "48000,30400,55800,31600" +st "startCounter" +blo "48000,31400" +) +) +thePort (LogicalPort +decl (Decl +n "startCounter" +t "std_ulogic" +o 3 +suid 2012,0 +) +) +) +*27 (CptPort +uid 419,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 420,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,32625,47000,33375" +) +tg (CPTG +uid 421,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 422,0 +va (VaSet +) +xt "48000,32400,52000,33600" +st "unitNb" +blo "48000,33400" +) +) +thePort (LogicalPort +decl (Decl +n "unitNb" +t "unsigned" +b "(unitCountBitNb-1 downto 0)" +o 4 +suid 2013,0 +) +) +) +*28 (CptPort +uid 423,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 424,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,30625,63750,31375" +) +tg (CPTG +uid 425,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 426,0 +va (VaSet +) +xt "58900,30400,62000,31600" +st "done" +ju 2 +blo "62000,31400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "done" +t "std_ulogic" +o 5 +suid 2014,0 +) +) +) +] +shape (Rectangle +uid 428,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "47000,27000,63000,41000" +) +oxt "40000,8000,56000,22000" +ttg (MlTextGroup +uid 429,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*29 (Text +uid 430,0 +va (VaSet +font "Verdana,9,1" +) +xt "47600,40800,51100,42000" +st "Morse" +blo "47600,41800" +tm "BdLibraryNameMgr" +) +*30 (Text +uid 431,0 +va (VaSet +font "Verdana,9,1" +) +xt "47600,42000,54700,43200" +st "unitCounter" +blo "47600,43000" +tm "CptNameMgr" +) +*31 (Text +uid 432,0 +va (VaSet +font "Verdana,9,1" +) +xt "47600,43200,50900,44400" +st "I_cnt" +blo "47600,44200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 433,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 434,0 +text (MLText +uid 435,0 +va (VaSet +) +xt "47000,44600,74500,47000" +st "unitCountDivide = unitCountDivide ( positive ) +unitCountBitNb = unitCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "unitCountDivide" +type "positive" +value "unitCountDivide" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "unitCountBitNb" +) +] +) +viewicon (ZoomableIcon +uid 436,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "47250,39250,48750,40750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*32 (PortIoOut +uid 578,0 +shape (CompositeShape +uid 579,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 580,0 +sl 0 +ro 90 +xt "5000,30625,6500,31375" +) +(Line +uid 581,0 +sl 0 +ro 90 +xt "6500,31000,7000,31000" +pts [ +"7000,31000" +"6500,31000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 582,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 583,0 +va (VaSet +isHidden 1 +) +xt "-1300,30500,4000,31700" +st "readChar" +ju 2 +blo "4000,31500" +tm "WireNameMgr" +) +) +) +*33 (Net +uid 590,0 +decl (Decl +n "readChar" +t "std_ulogic" +o 5 +suid 10,0 +) +declText (MLText +uid 591,0 +va (VaSet +) +xt "-5000,10400,9100,11600" +st "readChar : std_ulogic" +) +) +*34 (PortIoIn +uid 627,0 +shape (CompositeShape +uid 628,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 629,0 +sl 0 +ro 270 +xt "5000,28625,6500,29375" +) +(Line +uid 630,0 +sl 0 +ro 270 +xt "6500,29000,7000,29000" +pts [ +"6500,29000" +"7000,29000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 631,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 632,0 +va (VaSet +isHidden 1 +) +xt "-4500,28500,4000,29700" +st "charNotReady" +ju 2 +blo "4000,29500" +tm "WireNameMgr" +) +) +) +*35 (Net +uid 639,0 +decl (Decl +n "charNotReady" +t "std_ulogic" +o 9 +suid 11,0 +) +declText (MLText +uid 640,0 +va (VaSet +) +xt "-5000,11300,10400,12500" +st "charNotReady : std_ulogic" +) +) +*36 (SaComponent +uid 806,0 +optionalChildren [ +*37 (CptPort +uid 770,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 771,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31000,26625,31750,27375" +) +tg (CPTG +uid 772,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 773,0 +va (VaSet +) +xt "24300,26400,30000,27600" +st "morseOut" +ju 2 +blo "30000,27400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +) +*38 (CptPort +uid 774,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 775,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,34625,15000,35375" +) +tg (CPTG +uid 776,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 777,0 +va (VaSet +) +xt "16000,34400,19400,35600" +st "clock" +blo "16000,35400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +) +*39 (CptPort +uid 778,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 779,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,36625,15000,37375" +) +tg (CPTG +uid 780,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 781,0 +va (VaSet +) +xt "16000,36400,19300,37600" +st "reset" +blo "16000,37400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +) +*40 (CptPort +uid 782,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 783,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,28625,15000,29375" +) +tg (CPTG +uid 784,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 785,0 +va (VaSet +) +xt "16000,28400,24500,29600" +st "charNotReady" +blo "16000,29400" +) +) +thePort (LogicalPort +decl (Decl +n "charNotReady" +t "std_ulogic" +o 4 +suid 2010,0 +) +) +) +*41 (CptPort +uid 786,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 787,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,26625,15000,27375" +) +tg (CPTG +uid 788,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 789,0 +va (VaSet +) +xt "16000,26400,18900,27600" +st "char" +blo "16000,27400" +) +) +thePort (LogicalPort +decl (Decl +n "char" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 5 +suid 2011,0 +) +) +) +*42 (CptPort +uid 790,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 791,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31000,30625,31750,31375" +) +tg (CPTG +uid 792,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 793,0 +va (VaSet +) +xt "22200,30400,30000,31600" +st "startCounter" +ju 2 +blo "30000,31400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "startCounter" +t "std_ulogic" +o 6 +suid 2012,0 +) +) +) +*43 (CptPort +uid 794,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 795,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31000,32625,31750,33375" +) +tg (CPTG +uid 796,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 797,0 +va (VaSet +) +xt "26000,32400,30000,33600" +st "unitNb" +ju 2 +blo "30000,33400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "unitNb" +t "unsigned" +b "(unitCountBitNb-1 downto 0)" +o 7 +suid 2013,0 +) +) +) +*44 (CptPort +uid 798,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 799,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31000,34625,31750,35375" +) +tg (CPTG +uid 800,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 801,0 +va (VaSet +) +xt "22200,34400,30000,35600" +st "counterDone" +ju 2 +blo "30000,35400" +) +) +thePort (LogicalPort +decl (Decl +n "counterDone" +t "std_ulogic" +o 8 +suid 2014,0 +) +) +) +*45 (CptPort +uid 802,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 803,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,30625,15000,31375" +) +tg (CPTG +uid 804,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 805,0 +va (VaSet +) +xt "16000,30550,21300,31750" +st "readChar" +blo "16000,31550" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "readChar" +t "std_ulogic" +o 9 +suid 2015,0 +) +) +) +] +shape (Rectangle +uid 807,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,23000,31000,39000" +) +oxt "40000,6000,56000,22000" +ttg (MlTextGroup +uid 808,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +uid 809,0 +va (VaSet +font "Verdana,9,1" +) +xt "15600,38800,19100,40000" +st "Morse" +blo "15600,39800" +tm "BdLibraryNameMgr" +) +*47 (Text +uid 810,0 +va (VaSet +font "Verdana,9,1" +) +xt "15600,39700,28500,40900" +st "charToMorseController" +blo "15600,40700" +tm "CptNameMgr" +) +*48 (Text +uid 811,0 +va (VaSet +font "Verdana,9,1" +) +xt "15600,40600,18600,41800" +st "I_ctl" +blo "15600,41600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 812,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 813,0 +text (MLText +uid 814,0 +va (VaSet +) +xt "15000,42600,41700,45000" +st "characterBitNb = characterBitNb ( positive ) +unitCountBitNb = unitCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "characterBitNb" +type "positive" +value "characterBitNb" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "unitCountBitNb" +) +] +) +viewicon (ZoomableIcon +uid 815,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "15250,37250,16750,38750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*49 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "31750,27000,39000,27000" +pts [ +"39000,27000" +"31750,27000" +] +) +start &1 +end &37 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +) +xt "36000,26000,41700,27200" +st "morseOut" +blo "36000,27000" +tm "WireNameMgr" +) +) +on &2 +) +*50 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "7000,35000,14250,35000" +pts [ +"7000,35000" +"14250,35000" +] +) +start &3 +end &38 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +) +xt "7000,34000,10400,35200" +st "clock" +blo "7000,35000" +tm "WireNameMgr" +) +) +on &4 +) +*51 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "7000,37000,14250,37000" +pts [ +"7000,37000" +"14250,37000" +] +) +start &5 +end &39 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +) +xt "7000,36000,10300,37200" +st "reset" +blo "7000,37000" +tm "WireNameMgr" +) +) +on &6 +) +*52 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "7000,27000,14250,27000" +pts [ +"7000,27000" +"14250,27000" +] +) +start &7 +end &41 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +) +xt "7000,26000,11000,27200" +st "charIn" +blo "7000,27000" +tm "WireNameMgr" +) +) +on &8 +) +*53 (Wire +uid 367,0 +shape (OrthoPolyLine +uid 368,0 +va (VaSet +vasetType 3 +) +xt "31750,31000,46250,31000" +pts [ +"31750,31000" +"46250,31000" +] +) +start &42 +end &26 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 369,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 370,0 +va (VaSet +) +xt "33750,30000,41550,31200" +st "startCounter" +blo "33750,31000" +tm "WireNameMgr" +) +) +on &20 +) +*54 (Wire +uid 373,0 +shape (OrthoPolyLine +uid 374,0 +va (VaSet +vasetType 3 +) +xt "31750,31000,67000,45000" +pts [ +"63750,31000" +"67000,31000" +"67000,45000" +"35000,45000" +"35000,35000" +"31750,35000" +] +) +start &28 +end &44 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 375,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 376,0 +va (VaSet +) +xt "65750,30000,68850,31200" +st "done" +blo "65750,31000" +tm "WireNameMgr" +) +) +on &21 +) +*55 (Wire +uid 379,0 +shape (OrthoPolyLine +uid 380,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "31750,33000,46250,33000" +pts [ +"31750,33000" +"46250,33000" +] +) +start &43 +end &27 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 381,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 382,0 +va (VaSet +) +xt "33750,32000,37750,33200" +st "unitNb" +blo "33750,33000" +tm "WireNameMgr" +) +) +on &22 +) +*56 (Wire +uid 389,0 +shape (OrthoPolyLine +uid 390,0 +va (VaSet +vasetType 3 +) +xt "43000,39000,46250,39000" +pts [ +"43000,39000" +"46250,39000" +] +) +end &25 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 395,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 396,0 +va (VaSet +) +xt "43000,38000,46300,39200" +st "reset" +blo "43000,39000" +tm "WireNameMgr" +) +) +on &6 +) +*57 (Wire +uid 397,0 +shape (OrthoPolyLine +uid 398,0 +va (VaSet +vasetType 3 +) +xt "43000,37000,46250,37000" +pts [ +"43000,37000" +"46250,37000" +] +) +end &24 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 403,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 404,0 +va (VaSet +) +xt "43000,36000,46400,37200" +st "clock" +blo "43000,37000" +tm "WireNameMgr" +) +) +on &4 +) +*58 (Wire +uid 584,0 +shape (OrthoPolyLine +uid 585,0 +va (VaSet +vasetType 3 +) +xt "7000,31000,14250,31000" +pts [ +"14250,31000" +"7000,31000" +] +) +start &45 +end &32 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 588,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 589,0 +va (VaSet +) +xt "7000,30000,12300,31200" +st "readChar" +blo "7000,31000" +tm "WireNameMgr" +) +) +on &33 +) +*59 (Wire +uid 633,0 +shape (OrthoPolyLine +uid 634,0 +va (VaSet +vasetType 3 +) +xt "7000,29000,14250,29000" +pts [ +"7000,29000" +"14250,29000" +] +) +start &34 +end &40 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 637,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 638,0 +va (VaSet +) +xt "7000,28000,15500,29200" +st "charNotReady" +blo "7000,29000" +tm "WireNameMgr" +) +) +on &35 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *60 (PackageList +uid 123,0 +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 124,0 +va (VaSet +font "Verdana,8,1" +) +xt "-7000,0,-100,1000" +st "Package List" +blo "-7000,800" +) +*62 (MLText +uid 125,0 +va (VaSet +) +xt "-7000,1000,10500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 126,0 +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +uid 127,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*64 (Text +uid 128,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*65 (MLText +uid 129,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*66 (Text +uid 130,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*67 (MLText +uid 131,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*68 (Text +uid 132,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*69 (MLText +uid 133,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-8435,-1430,118740,67667" +cachedDiagramExtent "-7000,0,90000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,0" +lastUid 923,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*71 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*72 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2200,5500,4000,6500" +st "U_0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +va (VaSet +font "Verdana,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*74 (Text +va (VaSet +font "Verdana,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*75 (Text +va (VaSet +font "Verdana,8,1" +) +xt "550,5500,2350,6500" +st "U_0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +va (VaSet +font "Verdana,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*77 (Text +va (VaSet +font "Verdana,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*78 (Text +va (VaSet +font "Verdana,8,1" +) +xt "900,5500,2700,6500" +st "U_0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +va (VaSet +font "Verdana,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*80 (Text +va (VaSet +font "Verdana,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*81 (Text +va (VaSet +font "Verdana,8,1" +) +xt "500,5500,2300,6500" +st "U_0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +va (VaSet +font "Verdana,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*83 (Text +va (VaSet +font "Verdana,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*84 (Text +va (VaSet +font "Verdana,8,1" +) +xt "50,5500,1850,6500" +st "U_0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +va (VaSet +font "Verdana,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*86 (Text +va (VaSet +font "Verdana,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*88 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*90 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-7000,5000,0,6000" +st "Declarations" +blo "-7000,5800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "-7000,5900,-3600,6900" +st "Ports:" +blo "-7000,6700" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-7000,12200,-2200,13200" +st "Pre User:" +blo "-7000,13000" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,13100,17000,14300" +st "constant unitCountBitNb: positive := 3;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "-7000,14000,2000,15000" +st "Diagram Signals:" +blo "-7000,14800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-7000,5000,-1000,6000" +st "Post User:" +blo "-7000,5800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-7000,5000,-7000,5000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 11,0 +usingSuid 1 +emptyRow *91 (LEmptyRow +) +uid 136,0 +optionalChildren [ +*92 (RefLabelRowHdr +) +*93 (TitleRowHdr +) +*94 (FilterRowHdr +) +*95 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*96 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*97 (GroupColHdr +tm "GroupColHdrMgr" +) +*98 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*99 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*100 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*101 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*102 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*103 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*104 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 79,0 +) +*105 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 81,0 +) +*106 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 83,0 +) +*107 (LeafLogPort +port (LogicalPort +decl (Decl +n "charIn" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +uid 87,0 +) +*108 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "startCounter" +t "std_ulogic" +o 6 +suid 6,0 +) +) +uid 383,0 +) +*109 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "done" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 385,0 +) +*110 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "unitNb" +t "unsigned" +b "(unitCountBitNb-1 downto 0)" +o 8 +suid 8,0 +) +) +uid 387,0 +) +*111 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "readChar" +t "std_ulogic" +o 5 +suid 10,0 +) +) +uid 563,0 +) +*112 (LeafLogPort +port (LogicalPort +decl (Decl +n "charNotReady" +t "std_ulogic" +o 9 +suid 11,0 +) +) +uid 626,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 149,0 +optionalChildren [ +*113 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *114 (MRCItem +litem &91 +pos 9 +dimension 20 +) +uid 151,0 +optionalChildren [ +*115 (MRCItem +litem &92 +pos 0 +dimension 20 +uid 152,0 +) +*116 (MRCItem +litem &93 +pos 1 +dimension 23 +uid 153,0 +) +*117 (MRCItem +litem &94 +pos 2 +hidden 1 +dimension 20 +uid 154,0 +) +*118 (MRCItem +litem &104 +pos 0 +dimension 20 +uid 80,0 +) +*119 (MRCItem +litem &105 +pos 1 +dimension 20 +uid 82,0 +) +*120 (MRCItem +litem &106 +pos 2 +dimension 20 +uid 84,0 +) +*121 (MRCItem +litem &107 +pos 4 +dimension 20 +uid 88,0 +) +*122 (MRCItem +litem &108 +pos 6 +dimension 20 +uid 384,0 +) +*123 (MRCItem +litem &109 +pos 7 +dimension 20 +uid 386,0 +) +*124 (MRCItem +litem &110 +pos 8 +dimension 20 +uid 388,0 +) +*125 (MRCItem +litem &111 +pos 5 +dimension 20 +uid 562,0 +) +*126 (MRCItem +litem &112 +pos 3 +dimension 20 +uid 625,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 155,0 +optionalChildren [ +*127 (MRCItem +litem &95 +pos 0 +dimension 20 +uid 156,0 +) +*128 (MRCItem +litem &97 +pos 1 +dimension 50 +uid 157,0 +) +*129 (MRCItem +litem &98 +pos 2 +dimension 100 +uid 158,0 +) +*130 (MRCItem +litem &99 +pos 3 +dimension 50 +uid 159,0 +) +*131 (MRCItem +litem &100 +pos 4 +dimension 100 +uid 160,0 +) +*132 (MRCItem +litem &101 +pos 5 +dimension 100 +uid 161,0 +) +*133 (MRCItem +litem &102 +pos 6 +dimension 50 +uid 162,0 +) +*134 (MRCItem +litem &103 +pos 7 +dimension 80 +uid 163,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 150,0 +vaOverrides [ +] +) +] +) +uid 135,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *135 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*136 (RefLabelRowHdr +) +*137 (TitleRowHdr +) +*138 (FilterRowHdr +) +*139 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*140 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*141 (GroupColHdr +tm "GroupColHdrMgr" +) +*142 (NameColHdr +tm "GenericNameColHdrMgr" +) +*143 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*144 (InitColHdr +tm "GenericValueColHdrMgr" +) +*145 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*146 (EolColHdr +tm "GenericEolColHdrMgr" +) +*147 (LogGeneric +generic (GiElement +name "characterBitNb" +type "positive" +value "8" +) +uid 89,0 +) +*148 (LogGeneric +generic (GiElement +name "unitCountDivide" +type "positive" +value "10E3" +) +uid 406,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 177,0 +optionalChildren [ +*149 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *150 (MRCItem +litem &135 +pos 2 +dimension 20 +) +uid 179,0 +optionalChildren [ +*151 (MRCItem +litem &136 +pos 0 +dimension 20 +uid 180,0 +) +*152 (MRCItem +litem &137 +pos 1 +dimension 23 +uid 181,0 +) +*153 (MRCItem +litem &138 +pos 2 +hidden 1 +dimension 20 +uid 182,0 +) +*154 (MRCItem +litem &147 +pos 0 +dimension 20 +uid 90,0 +) +*155 (MRCItem +litem &148 +pos 1 +dimension 20 +uid 405,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 183,0 +optionalChildren [ +*156 (MRCItem +litem &139 +pos 0 +dimension 20 +uid 184,0 +) +*157 (MRCItem +litem &141 +pos 1 +dimension 50 +uid 185,0 +) +*158 (MRCItem +litem &142 +pos 2 +dimension 100 +uid 186,0 +) +*159 (MRCItem +litem &143 +pos 3 +dimension 100 +uid 187,0 +) +*160 (MRCItem +litem &144 +pos 4 +dimension 50 +uid 188,0 +) +*161 (MRCItem +litem &145 +pos 5 +dimension 50 +uid 189,0 +) +*162 (MRCItem +litem &146 +pos 6 +dimension 80 +uid 190,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 178,0 +vaOverrides [ +] +) +] +) +uid 164,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/05-Morse/Morse/hds/char@to@morse/symbol.sb b/05-Morse/Morse/hds/char@to@morse/symbol.sb index f870308..3d0e91c 100644 --- a/05-Morse/Morse/hds/char@to@morse/symbol.sb +++ b/05-Morse/Morse/hds/char@to@morse/symbol.sb @@ -477,23 +477,23 @@ value " " ) (vvPair variable "HDLDir" -value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hdl" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\05-Morse\\Prefs\\..\\Morse\\hdl" ) (vvPair variable "HDSDir" -value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\05-Morse\\Prefs\\..\\Morse\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\symbol.sb.info" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\symbol.sb.info" ) (vvPair variable "SideDataUserDir" -value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\symbol.sb.user" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\symbol.sb.user" ) (vvPair variable "SourceDir" -value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\05-Morse\\Prefs\\..\\Morse\\hds" ) (vvPair variable "appl" @@ -517,27 +517,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse" ) (vvPair variable "d_logical" -value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\charToMorse" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\05-Morse\\Prefs\\..\\Morse\\hds\\charToMorse" ) (vvPair variable "date" -value "28.04.2023" +value "10.04.2024" ) (vvPair variable "day" -value "ven." +value "mer." ) (vvPair variable "day_long" -value "vendredi" +value "mercredi" ) (vvPair variable "dd" -value "28" +value "10" ) (vvPair variable "designName" @@ -565,11 +565,11 @@ value "symbol" ) (vvPair variable "graphical_source_author" -value "axel.amand" +value "remi.heredero" ) (vvPair variable "graphical_source_date" -value "28.04.2023" +value "10.04.2024" ) (vvPair variable "graphical_source_group" @@ -577,11 +577,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "WE7860" +value "WE2330808" ) (vvPair variable "graphical_source_time" -value "14:49:52" +value "13:09:12" ) (vvPair variable "group" @@ -589,7 +589,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "WE7860" +value "WE2330808" ) (vvPair variable "language" @@ -600,6 +600,10 @@ variable "library" value "Morse" ) (vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Morse/concat" +) +(vvPair variable "library_downstream_Generic_1_file" value "U:\\SEm_curves\\Synthesis" ) @@ -633,11 +637,11 @@ value "avril" ) (vvPair variable "p" -value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\symbol.sb" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\symbol.sb" ) (vvPair variable "p_logical" -value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\charToMorse\\symbol.sb" +value "C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\05-Morse\\Prefs\\..\\Morse\\hds\\charToMorse\\symbol.sb" ) (vvPair variable "package_name" @@ -713,7 +717,7 @@ value "symbol" ) (vvPair variable "time" -value "14:49:52" +value "13:09:12" ) (vvPair variable "unit" @@ -721,7 +725,7 @@ value "charToMorse" ) (vvPair variable "user" -value "axel.amand" +value "remi.heredero" ) (vvPair variable "version" @@ -733,11 +737,11 @@ value "symbol" ) (vvPair variable "year" -value "2023" +value "2024" ) (vvPair variable "yy" -value "23" +value "24" ) ] ) @@ -1715,7 +1719,7 @@ xt "0,6000,0,6000" tm "SyDeclarativeTextMgr" ) ) -lastUid 818,0 +lastUid 841,0 okToSyncOnLoad 1 OkToSyncGenericsOnLoad 1 activeModelName "Symbol" diff --git a/05-Morse/Morse_test/hds/.cache.dat b/05-Morse/Morse_test/hds/.cache.dat new file mode 100644 index 0000000..609d9cc Binary files /dev/null and b/05-Morse/Morse_test/hds/.cache.dat differ diff --git a/05-Morse/Prefs/hds_user/v2019.2/hds_user_prefs b/05-Morse/Prefs/hds_user/v2019.2/hds_user_prefs index aeba049..f3a38a2 100644 --- a/05-Morse/Prefs/hds_user/v2019.2/hds_user_prefs +++ b/05-Morse/Prefs/hds_user/v2019.2/hds_user_prefs @@ -6249,7 +6249,7 @@ yPos 0 width 1936 height 1056 activeSidePanelTab 2 -activeLibraryTab 2 +activeLibraryTab 3 sidePanelSize 278 showUnixHiddenFiles 0 componentBrowserXpos 569 diff --git a/05-Morse/Prefs/hds_user/v2019.2/hds_user_prefs.bak b/05-Morse/Prefs/hds_user/v2019.2/hds_user_prefs.bak index 2ed09b1..f3a38a2 100644 --- a/05-Morse/Prefs/hds_user/v2019.2/hds_user_prefs.bak +++ b/05-Morse/Prefs/hds_user/v2019.2/hds_user_prefs.bak @@ -2796,7 +2796,7 @@ second "" ) (pair first "hierLevel" -second "1" +second "3" ) (pair first "onPulldownMenu" diff --git a/Libs/Common/hds/.cache.dat b/Libs/Common/hds/.cache.dat new file mode 100644 index 0000000..8a7d60e Binary files /dev/null and b/Libs/Common/hds/.cache.dat differ diff --git a/Libs/Common_test/hds/.cache.dat b/Libs/Common_test/hds/.cache.dat new file mode 100644 index 0000000..0aa9442 Binary files /dev/null and b/Libs/Common_test/hds/.cache.dat differ diff --git a/Libs/Lattice/hds/.cache.dat b/Libs/Lattice/hds/.cache.dat new file mode 100644 index 0000000..246f86e Binary files /dev/null and b/Libs/Lattice/hds/.cache.dat differ diff --git a/Libs/Memory/hdl/fifo_bram_entity.vhg b/Libs/Memory/hdl/fifo_bram_entity.vhg new file mode 100644 index 0000000..181e1db --- /dev/null +++ b/Libs/Memory/hdl/fifo_bram_entity.vhg @@ -0,0 +1,32 @@ +-- VHDL Entity Memory.FIFO_bram.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:45:15 08/28/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY FIFO_bram IS + GENERIC( + dataBitNb : positive := 8; + depth : positive := 8 + ); + PORT( + write : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0); + read : IN std_ulogic; + dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0); + empty : OUT std_ulogic; + full : OUT std_ulogic + ); + +-- Declarations + +END FIFO_bram ; + diff --git a/Libs/Memory/hds/.cache.dat b/Libs/Memory/hds/.cache.dat new file mode 100644 index 0000000..2a8f4ee Binary files /dev/null and b/Libs/Memory/hds/.cache.dat differ diff --git a/Libs/Memory/hds/.xrf/fifo_bram_entity.xrf b/Libs/Memory/hds/.xrf/fifo_bram_entity.xrf new file mode 100644 index 0000000..e2cab99 --- /dev/null +++ b/Libs/Memory/hds/.xrf/fifo_bram_entity.xrf @@ -0,0 +1,39 @@ +DESIGN @f@i@f@o_bram +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN @f@i@f@o_bram +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN @f@i@f@o_bram +VIEW symbol.sb +GRAPHIC 13,0 13 1 +DESIGN @f@i@f@o_bram +VIEW symbol.sb +GRAPHIC 168,0 18 0 +DESIGN @f@i@f@o_bram +VIEW symbol.sb +GRAPHIC 173,0 19 0 +DESIGN @f@i@f@o_bram +VIEW symbol.sb +GRAPHIC 178,0 20 0 +DESIGN @f@i@f@o_bram +VIEW symbol.sb +GRAPHIC 188,0 21 0 +DESIGN @f@i@f@o_bram +VIEW symbol.sb +GRAPHIC 193,0 22 0 +DESIGN @f@i@f@o_bram +VIEW symbol.sb +GRAPHIC 216,0 23 0 +DESIGN @f@i@f@o_bram +VIEW symbol.sb +GRAPHIC 221,0 24 0 +DESIGN @f@i@f@o_bram +VIEW symbol.sb +GRAPHIC 229,0 25 0 +DESIGN @f@i@f@o_bram +VIEW symbol.sb +GRAPHIC 1,0 28 0 +DESIGN @f@i@f@o_bram +VIEW symbol.sb +GRAPHIC 1,0 29 0 diff --git a/Libs/RS232/hdl/serialportreceiver_entity.vhg b/Libs/RS232/hdl/serialportreceiver_entity.vhg new file mode 100644 index 0000000..da22999 --- /dev/null +++ b/Libs/RS232/hdl/serialportreceiver_entity.vhg @@ -0,0 +1,29 @@ +-- VHDL Entity RS232.serialPortReceiver.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:45:48 08/28/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY serialPortReceiver IS + GENERIC( + dataBitNb : positive := 8; + baudRateDivide : positive := 2083 + ); + PORT( + RxD : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0); + dataValid : OUT std_ulogic + ); + +-- Declarations + +END serialPortReceiver ; + diff --git a/Libs/RS232/hds/.cache.dat b/Libs/RS232/hds/.cache.dat new file mode 100644 index 0000000..4ec2f46 Binary files /dev/null and b/Libs/RS232/hds/.cache.dat differ diff --git a/Libs/RS232/hds/.xrf/serialportreceiver_entity.xrf b/Libs/RS232/hds/.xrf/serialportreceiver_entity.xrf new file mode 100644 index 0000000..fbc443b --- /dev/null +++ b/Libs/RS232/hds/.xrf/serialportreceiver_entity.xrf @@ -0,0 +1,30 @@ +DESIGN serial@port@receiver +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN serial@port@receiver +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN serial@port@receiver +VIEW symbol.sb +GRAPHIC 13,0 13 1 +DESIGN serial@port@receiver +VIEW symbol.sb +GRAPHIC 168,0 18 0 +DESIGN serial@port@receiver +VIEW symbol.sb +GRAPHIC 173,0 19 0 +DESIGN serial@port@receiver +VIEW symbol.sb +GRAPHIC 178,0 20 0 +DESIGN serial@port@receiver +VIEW symbol.sb +GRAPHIC 188,0 21 0 +DESIGN serial@port@receiver +VIEW symbol.sb +GRAPHIC 193,0 22 0 +DESIGN serial@port@receiver +VIEW symbol.sb +GRAPHIC 1,0 25 0 +DESIGN serial@port@receiver +VIEW symbol.sb +GRAPHIC 1,0 26 0 diff --git a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.cache.dat b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.cache.dat index 819f9f9..21af0ed 100644 Binary files a/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.cache.dat and b/zz-solutions/01-WaveformGenerator/WaveformGenerator/hds/.cache.dat differ diff --git a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.cache.dat b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.cache.dat index d5f7634..b512fc7 100644 Binary files a/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.cache.dat and b/zz-solutions/02-SplineInterpolator/SplineInterpolator/hds/.cache.dat differ diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.cache.dat b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.cache.dat new file mode 100644 index 0000000..413e6af Binary files /dev/null and b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.cache.dat differ diff --git a/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.cache.dat b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.cache.dat new file mode 100644 index 0000000..2c69f15 Binary files /dev/null and b/zz-solutions/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.cache.dat differ diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.2/hds_team_prefs.bak b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.2/hds_team_prefs.bak new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.2/hds_team_prefs.bak @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/hds_user_prefs b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/hds_user_prefs index 63ce98f..3fe2947 100644 --- a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/hds_user_prefs +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/hds_user_prefs @@ -1280,6 +1280,7 @@ projectPaths [ "C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\03-DigitalToAnalogConverter\\Prefs\\hds.hdp" "C:\\work\\edu\\sem\\labo\\sem_labs\\03-DigitalToAnalogConverter\\Prefs\\hds.hdp" "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\hds.hdp" +"C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\zz-solutions\\03-DigitalToAnalogConverter\\Prefs\\hds.hdp" ] libMappingsRootDir "" teamLibMappingsRootDir "" @@ -1300,288 +1301,144 @@ exportedDirectories [ exportStdIncludeRefs 1 exportStdPackageRefs 1 ) -printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN" +printerName "\\\\vmenpprint1\\VS-ENP.23.N308-PRN" pageSizes [ (PageSizeInfo -name "12\" x 18\"" -type 512 -width 1106 -height 1658 +name "Letter" +width 783 +height 1013 ) (PageSizeInfo -name "11\" x 17\"" -type 17 -width 1013 -height 1566 -) -(PageSizeInfo -name "Legal (8,5\" x 14\")" +name "Legal" type 5 width 783 height 1290 ) (PageSizeInfo -name "Letter (8,5\" x 11\")" -width 783 -height 1013 -) -(PageSizeInfo -name "Executive (7,25\"x10,5\")" -type 7 -width 667 -height 967 -) -(PageSizeInfo -name "5,5\" x 8,5\"" +name "Statement" type 6 width 506 height 783 ) (PageSizeInfo -name "A3 (297 x 420 mm)" +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" type 8 width 1077 height 1523 ) (PageSizeInfo -name "A4 (210 x 297 mm)" +name "A4" type 9 width 761 height 1077 ) (PageSizeInfo -name "A5 (148 x 210 mm)" +name "A5" type 11 -width 538 +width 536 height 761 ) (PageSizeInfo -name "A6 (105 x 148 mm)" -type 70 -width 380 -height 538 -) -(PageSizeInfo -name "B4 JIS (257 x 364 mm)" +name "B4 (JIS)" type 12 width 932 height 1320 ) (PageSizeInfo -name "B5 JIS (182 x 257 mm)" +name "B5 (JIS)" type 13 width 660 height 932 ) (PageSizeInfo -name "B6 JIS (128 x 182 mm)" -type 88 -width 464 -height 660 +name "11×17" +type 17 +width 1013 +height 1566 ) (PageSizeInfo -name "8\" x 13\"" -type 518 -width 737 -height 1198 -) -(PageSizeInfo -name "8,25\" x 13\"" -type 519 -width 760 -height 1198 -) -(PageSizeInfo -name "8,5\" x 13\"" -type 14 -width 783 -height 1198 -) -(PageSizeInfo -name "8.5\" x 13.4\"" -type 551 -width 783 -height 1235 -) -(PageSizeInfo -name "Com10 Env.(4,125\"x9,5\")" +name "Envelope #10" type 20 -width 380 +width 379 height 875 ) (PageSizeInfo -name "Env.Monar.(3,875\"x7,5\")" -type 37 -width 357 -height 691 -) -(PageSizeInfo -name "Env. DL (110 x 220 mm)" +name "Envelope DL" type 27 width 399 height 798 ) (PageSizeInfo -name "Env. C6 (114 x 162 mm)" -type 31 -width 413 -height 587 -) -(PageSizeInfo -name "Env. C5 (162 x 229 mm)" +name "Envelope C5" type 28 width 587 height 830 ) (PageSizeInfo -name "8K (267 x 390 mm)" -type 520 -width 968 -height 1415 +name "Envelope B5" +type 34 +width 638 +height 907 ) (PageSizeInfo -name "16K (195 x 267 mm)" -type 521 -width 707 -height 968 +name "Envelope Monarch" +type 37 +width 357 +height 691 ) (PageSizeInfo -name "8,25\" x 14\"" -type 522 -width 760 -height 1290 +name "Japanese Postcard" +type 43 +width 362 +height 536 ) (PageSizeInfo -name "11\" x 14\"" -type 524 -width 1013 -height 1290 +name "A6" +type 70 +width 380 +height 536 ) (PageSizeInfo -name "13\" x 19,2\"" -type 525 -width 1198 -height 1769 +name "Double Japan Postcard Rotated" +type 82 +width 536 +height 725 ) (PageSizeInfo -name "13\" x 19\"" -type 526 -width 1198 -height 1751 +name "Executive (JIS)" +type 119 +width 783 +height 1196 ) (PageSizeInfo -name "12,6\" x 19,2\"" -type 527 -width 1161 -height 1769 +name "Oficio 8.5x13" +type 120 +width 783 +height 1198 ) (PageSizeInfo -name "12,6\" x 18,5\"" -type 528 -width 1161 -height 1704 -) -(PageSizeInfo -name "13\" x 18\"" -type 529 -width 1198 +name "12x18" +type 121 +width 1105 height 1658 ) (PageSizeInfo -name "10\" x 14\"" -type 16 -width 921 -height 1290 +name "8K 273x394 mm" +type 139 +width 990 +height 1428 ) (PageSizeInfo -name "10\" x 15\"" -type 546 -width 921 -height 1382 -) -(PageSizeInfo -name "11\" x 15\"" -type 539 -width 1013 -height 1382 -) -(PageSizeInfo -name "SRA3 (320 x 450 mm)" -type 530 -width 1161 -height 1632 -) -(PageSizeInfo -name "SRA4 (225 x 320 mm)" -type 531 -width 816 -height 1161 -) -(PageSizeInfo -name "Format papier personnalisé" -type 256 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size1(215,9 x 279,4 mm)" -type 257 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size2(215,9 x 279,4 mm)" -type 258 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size3(215,9 x 279,4 mm)" -type 259 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size4(215,9 x 279,4 mm)" -type 260 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size5(215,9 x 279,4 mm)" -type 261 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size6(215,9 x 279,4 mm)" -type 262 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size7(215,9 x 279,4 mm)" -type 263 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size8(215,9 x 279,4 mm)" -type 264 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size9(215,9 x 279,4 mm)" -type 265 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size10(215,9 x 279,4 mm)" -type 266 -width 783 -height 1013 +name "16K 197x273 mm" +type 140 +width 714 +height 990 ) ] exportPageSetupInfo (PageSetupInfo diff --git a/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/hds_user_prefs.bak b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/hds_user_prefs.bak new file mode 100644 index 0000000..63ce98f --- /dev/null +++ b/zz-solutions/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/hds_user_prefs.bak @@ -0,0 +1,6842 @@ +version "49.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +measurementUnits 1 +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\03-DigitalToAnalogConverter\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\03-DigitalToAnalogConverter\\Prefs\\hds.hdp" +"C:\\work\\edu\\sem\\labo\\sem_labs\\03-DigitalToAnalogConverter\\Prefs\\hds.hdp" +"C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN" +pageSizes [ +(PageSizeInfo +name "12\" x 18\"" +type 512 +width 1106 +height 1658 +) +(PageSizeInfo +name "11\" x 17\"" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal (8,5\" x 14\")" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Letter (8,5\" x 11\")" +width 783 +height 1013 +) +(PageSizeInfo +name "Executive (7,25\"x10,5\")" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "5,5\" x 8,5\"" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "A3 (297 x 420 mm)" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4 (210 x 297 mm)" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A5 (148 x 210 mm)" +type 11 +width 538 +height 761 +) +(PageSizeInfo +name "A6 (105 x 148 mm)" +type 70 +width 380 +height 538 +) +(PageSizeInfo +name "B4 JIS (257 x 364 mm)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 JIS (182 x 257 mm)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "B6 JIS (128 x 182 mm)" +type 88 +width 464 +height 660 +) +(PageSizeInfo +name "8\" x 13\"" +type 518 +width 737 +height 1198 +) +(PageSizeInfo +name "8,25\" x 13\"" +type 519 +width 760 +height 1198 +) +(PageSizeInfo +name "8,5\" x 13\"" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "8.5\" x 13.4\"" +type 551 +width 783 +height 1235 +) +(PageSizeInfo +name "Com10 Env.(4,125\"x9,5\")" +type 20 +width 380 +height 875 +) +(PageSizeInfo +name "Env.Monar.(3,875\"x7,5\")" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "Env. DL (110 x 220 mm)" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Env. C6 (114 x 162 mm)" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Env. C5 (162 x 229 mm)" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "8K (267 x 390 mm)" +type 520 +width 968 +height 1415 +) +(PageSizeInfo +name "16K (195 x 267 mm)" +type 521 +width 707 +height 968 +) +(PageSizeInfo +name "8,25\" x 14\"" +type 522 +width 760 +height 1290 +) +(PageSizeInfo +name "11\" x 14\"" +type 524 +width 1013 +height 1290 +) +(PageSizeInfo +name "13\" x 19,2\"" +type 525 +width 1198 +height 1769 +) +(PageSizeInfo +name "13\" x 19\"" +type 526 +width 1198 +height 1751 +) +(PageSizeInfo +name "12,6\" x 19,2\"" +type 527 +width 1161 +height 1769 +) +(PageSizeInfo +name "12,6\" x 18,5\"" +type 528 +width 1161 +height 1704 +) +(PageSizeInfo +name "13\" x 18\"" +type 529 +width 1198 +height 1658 +) +(PageSizeInfo +name "10\" x 14\"" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "10\" x 15\"" +type 546 +width 921 +height 1382 +) +(PageSizeInfo +name "11\" x 15\"" +type 539 +width 1013 +height 1382 +) +(PageSizeInfo +name "SRA3 (320 x 450 mm)" +type 530 +width 1161 +height 1632 +) +(PageSizeInfo +name "SRA4 (225 x 320 mm)" +type 531 +width 816 +height 1161 +) +(PageSizeInfo +name "Format papier personnalisé" +type 256 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size1(215,9 x 279,4 mm)" +type 257 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size2(215,9 x 279,4 mm)" +type 258 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size3(215,9 x 279,4 mm)" +type 259 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size4(215,9 x 279,4 mm)" +type 260 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size5(215,9 x 279,4 mm)" +type 261 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size6(215,9 x 279,4 mm)" +type 262 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size7(215,9 x 279,4 mm)" +type 263 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size8(215,9 x 279,4 mm)" +type 264 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size9(215,9 x 279,4 mm)" +type 265 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size10(215,9 x 279,4 mm)" +type 266 +width 783 +height 1013 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +"USER:Diamond Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" \"USER:Diamond Project IDE\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" USER:Update.ldf" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "1040x667+-1326+141" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +VHDLEndFrames 0 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Size" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "DigitalToAnalogConverter" +showingHierarchy 0 +openLibs [ +"DigitalToAnalogConverter" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "DigitalToAnalogConverter_test" +showingHierarchy 0 +openLibs [ +"DigitalToAnalogConverter_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 0 +yPos 0 +width 1936 +height 1056 +activeSidePanelTab 2 +activeLibraryTab 1 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/zz-solutions/03-DigitalToAnalogConverter/digitalToAnalogConverter.bat b/zz-solutions/03-DigitalToAnalogConverter/digitalToAnalogConverter.bat index bc1b2a4..ca0bda1 100644 --- a/zz-solutions/03-DigitalToAnalogConverter/digitalToAnalogConverter.bat +++ b/zz-solutions/03-DigitalToAnalogConverter/digitalToAnalogConverter.bat @@ -24,8 +24,8 @@ set design_name=%~n0 ::set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries ::set HDS_HOME=C:\eda\MentorGraphics\HDS -set HDS_HOME=C:\MentorGraphics\HDS_2019.2 -set MODELSIM_HOME=C:\modeltech64_2021.3\win64 +::set HDS_HOME=C:\MentorGraphics\HDS_2019.2 +::set MODELSIM_HOME=C:\modeltech64_2021.3\win64 ::set MODELSIM_HOME=C:\eda\MentorGraphics\Modelsim\win64 ::set ISE_VERSION=14.7 ::set ISE_HOME=C:\eda\Xilinx\%ISE_VERSION%\ISE_DS\ISE diff --git a/zz-solutions/04-Lissajous/Board/concat/concatenated.vhd b/zz-solutions/04-Lissajous/Board/concat/concatenated.vhd index eada0f5..07bc907 100644 --- a/zz-solutions/04-Lissajous/Board/concat/concatenated.vhd +++ b/zz-solutions/04-Lissajous/Board/concat/concatenated.vhd @@ -1,8 +1,8 @@ --- VHDL Entity Board.lissajousGenerator_circuit_EBS3.symbol +-- VHDL Entity Board.lissajousGenerator_circuit_EBS2.symbol -- -- Created: --- by - axel.amand.UNKNOWN (WE7860) --- at - 17:45:49 01.05.2023 +-- by - francois.francois (Aphelia) +-- at - 13:07:18 02/19/19 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) -- @@ -10,7 +10,10 @@ LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; -ENTITY lissajousGenerator_circuit_EBS3 IS +ENTITY lissajousGenerator_circuit_EBS2 IS + GENERIC( + bitNb : positive := 16 + ); PORT( clock : IN std_ulogic; reset_N : IN std_ulogic; @@ -21,7 +24,7 @@ ENTITY lissajousGenerator_circuit_EBS3 IS -- Declarations -END lissajousGenerator_circuit_EBS3 ; +END lissajousGenerator_circuit_EBS2 ; @@ -1340,109 +1343,12 @@ END struct; --- VHDL netlist generated by SCUBA Diamond (64-bit) 3.12.1.454 --- Module Version: 5.7 ---C:\lscc\diamond\3.12\ispfpga\bin\nt64\scuba.exe -w -n pll -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00 -type pll -fin 100.00 -fclkop 60 -fclkop_tol 10.0 -fclkos 75 -fclkos_tol 10.0 -phases 0 -fclkos2 50 -fclkos2_tol 10.0 -phases2 0 -fclkos3 10 -fclkos3_tol 10.0 -phases3 0 -phase_cntl STATIC -enable_s -enable_s2 -enable_s3 -pllLocked -fb_mode 1 -fdc C:/temp/clocker/pll/pll.fdc - --- Offers 10MHz, 50MHz, 60MHz and 75MHz clocks - -library IEEE; - use IEEE.std_logic_1164.all; -library ECP5U; - use ECP5U.components.all; - -ENTITY pll IS - PORT( - clkIn100M : IN std_ulogic; - en75M : IN std_ulogic; - en50M : IN std_ulogic; - en10M : IN std_ulogic; - clk60MHz : OUT std_ulogic; - clk75MHz : OUT std_ulogic; - clk50MHz : OUT std_ulogic; - clk10MHz : OUT std_ulogic; - pllLocked : OUT std_ulogic - ); - --- Declarations - -END pll ; - -architecture rtl of pll is - - -- internal signal declarations - signal REFCLK: std_logic; - signal CLKOS3_t: std_logic; - signal CLKOS2_t: std_logic; - signal CLKOS_t: std_logic; - signal CLKOP_t: std_logic; - signal scuba_vhi: std_logic; - signal scuba_vlo: std_logic; - - attribute FREQUENCY_PIN_CLKOS3 : string; - attribute FREQUENCY_PIN_CLKOS2 : string; - attribute FREQUENCY_PIN_CLKOS : string; - attribute FREQUENCY_PIN_CLKOP : string; - attribute FREQUENCY_PIN_CLKI : string; - attribute ICP_CURRENT : string; - attribute LPF_RESISTOR : string; - attribute FREQUENCY_PIN_CLKOS3 of PLLInst_0 : label is "10.000000"; - attribute FREQUENCY_PIN_CLKOS2 of PLLInst_0 : label is "50.000000"; - attribute FREQUENCY_PIN_CLKOS of PLLInst_0 : label is "75.000000"; - attribute FREQUENCY_PIN_CLKOP of PLLInst_0 : label is "60.000000"; - attribute FREQUENCY_PIN_CLKI of PLLInst_0 : label is "100.000000"; - attribute ICP_CURRENT of PLLInst_0 : label is "5"; - attribute LPF_RESISTOR of PLLInst_0 : label is "16"; - attribute syn_keep : boolean; - attribute NGD_DRC_MASK : integer; - attribute NGD_DRC_MASK of rtl : architecture is 1; - -begin - -- component instantiation statements - scuba_vhi_inst: VHI - port map (Z=>scuba_vhi); - - scuba_vlo_inst: VLO - port map (Z=>scuba_vlo); - - PLLInst_0: EHXPLLL - generic map (PLLRST_ENA=> "DISABLED", INTFB_WAKE=> "DISABLED", - STDBY_ENABLE=> "DISABLED", DPHASE_SOURCE=> "DISABLED", - CLKOS3_FPHASE=> 0, CLKOS3_CPHASE=> 59, CLKOS2_FPHASE=> 0, - CLKOS2_CPHASE=> 11, CLKOS_FPHASE=> 0, CLKOS_CPHASE=> 7, - CLKOP_FPHASE=> 0, CLKOP_CPHASE=> 9, PLL_LOCK_MODE=> 0, - CLKOS_TRIM_DELAY=> 0, CLKOS_TRIM_POL=> "FALLING", - CLKOP_TRIM_DELAY=> 0, CLKOP_TRIM_POL=> "FALLING", - OUTDIVIDER_MUXD=> "DIVD", CLKOS3_ENABLE=> "DISABLED", - OUTDIVIDER_MUXC=> "DIVC", CLKOS2_ENABLE=> "DISABLED", - OUTDIVIDER_MUXB=> "DIVB", CLKOS_ENABLE=> "DISABLED", - OUTDIVIDER_MUXA=> "DIVA", CLKOP_ENABLE=> "ENABLED", CLKOS3_DIV=> 60, - CLKOS2_DIV=> 12, CLKOS_DIV=> 8, CLKOP_DIV=> 10, CLKFB_DIV=> 3, - CLKI_DIV=> 5, FEEDBK_PATH=> "CLKOP") - port map (CLKI=>clkIn100M, CLKFB=>CLKOP_t, PHASESEL1=>scuba_vlo, - PHASESEL0=>scuba_vlo, PHASEDIR=>scuba_vlo, - PHASESTEP=>scuba_vlo, PHASELOADREG=>scuba_vlo, - STDBY=>scuba_vlo, PLLWAKESYNC=>scuba_vlo, RST=>scuba_vlo, - ENCLKOP=>scuba_vlo, ENCLKOS=>en75M, ENCLKOS2=>en50M, - ENCLKOS3=>en10M, CLKOP=>CLKOP_t, CLKOS=>CLKOS_t, - CLKOS2=>CLKOS2_t, CLKOS3=>CLKOS3_t, LOCK=>pllLocked, - INTLOCK=>open, REFCLK=>REFCLK, CLKINTFB=>open); - - clk10MHz <= CLKOS3_t; - clk50MHz <= CLKOS2_t; - clk75MHz <= CLKOS_t; - clk60MHz <= CLKOP_t; -end rtl; - - - - -- --- VHDL Architecture Board.lissajousGenerator_circuit_EBS3.masterVersion +-- VHDL Architecture Board.lissajousGenerator_circuit_EBS2.masterVersion -- -- Created: -- by - axel.amand.UNKNOWN (WE7860) --- at - 17:45:49 01.05.2023 +-- at - 14:46:55 28.04.2023 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) -- @@ -1451,10 +1357,9 @@ LIBRARY ieee; USE ieee.numeric_std.all; LIBRARY Board; -LIBRARY Lattice; LIBRARY Lissajous; -ARCHITECTURE masterVersion OF lissajousGenerator_circuit_EBS3 IS +ARCHITECTURE masterVersion OF lissajousGenerator_circuit_EBS2 IS -- Architecture declarations constant signalBitNb: positive := 16; @@ -1463,12 +1368,10 @@ ARCHITECTURE masterVersion OF lissajousGenerator_circuit_EBS3 IS constant stepY: positive := 4; -- Internal signal declarations - SIGNAL clkSys : std_ulogic; - SIGNAL logic0 : std_ulogic; - SIGNAL logic1 : std_uLogic; - SIGNAL reset : std_ulogic; - SIGNAL resetSynch : std_ulogic; - SIGNAL resetSynch_N : std_ulogic; + SIGNAL logic1 : std_uLogic; + SIGNAL reset : std_ulogic; + SIGNAL resetSnch_N : std_ulogic; + SIGNAL resetSynch : std_ulogic; -- Component Declarations @@ -1486,19 +1389,6 @@ ARCHITECTURE masterVersion OF lissajousGenerator_circuit_EBS3 IS out1 : OUT std_uLogic ); END COMPONENT; - COMPONENT pll - PORT ( - clkIn100M : IN std_ulogic ; - en75M : IN std_ulogic ; - en50M : IN std_ulogic ; - en10M : IN std_ulogic ; - clk60MHz : OUT std_ulogic ; - clk75MHz : OUT std_ulogic ; - clk50MHz : OUT std_ulogic ; - clk10MHz : OUT std_ulogic ; - pllLocked : OUT std_ulogic - ); - END COMPONENT; COMPONENT lissajousGenerator GENERIC ( signalBitNb : positive := 16; @@ -1520,18 +1410,14 @@ ARCHITECTURE masterVersion OF lissajousGenerator_circuit_EBS3 IS FOR ALL : DFF USE ENTITY Board.DFF; FOR ALL : inverterIn USE ENTITY Board.inverterIn; FOR ALL : lissajousGenerator USE ENTITY Lissajous.lissajousGenerator; - FOR ALL : pll USE ENTITY Lattice.pll; -- pragma synthesis_on BEGIN -- Architecture concurrent statements - -- HDL Embedded Text Block 5 eb5 + -- HDL Embedded Text Block 4 eb4 logic1 <= '1'; - -- HDL Embedded Text Block 6 eb6 - logic0 <= '0'; - -- Instance port mappings. I_dff : DFF @@ -1539,7 +1425,7 @@ BEGIN CLK => clock, CLR => reset, D => logic1, - Q => resetSynch_N + Q => resetSnch_N ); I_inv1 : inverterIn PORT MAP ( @@ -1548,21 +1434,9 @@ BEGIN ); I_inv2 : inverterIn PORT MAP ( - in1 => resetSynch_N, + in1 => resetSnch_N, out1 => resetSynch ); - U_pll : pll - PORT MAP ( - clkIn100M => clock, - en75M => logic0, - en50M => logic0, - en10M => logic0, - clk60MHz => clkSys, - clk75MHz => OPEN, - clk50MHz => OPEN, - clk10MHz => OPEN, - pllLocked => OPEN - ); I_main : lissajousGenerator GENERIC MAP ( signalBitNb => signalBitNb, @@ -1571,7 +1445,7 @@ BEGIN stepY => stepY ) PORT MAP ( - clock => clkSys, + clock => clock, reset => resetSynch, triggerOut => triggerOut, xOut => xOut, diff --git a/zz-solutions/04-Lissajous/Board/concat/lissajous.vhd b/zz-solutions/04-Lissajous/Board/concat/lissajous.vhd index 02ed33b..6124437 100644 --- a/zz-solutions/04-Lissajous/Board/concat/lissajous.vhd +++ b/zz-solutions/04-Lissajous/Board/concat/lissajous.vhd @@ -1,8 +1,8 @@ --- VHDL Entity Board.lissajousGenerator_circuit_EBS3.symbol +-- VHDL Entity Board.lissajousGenerator_circuit_EBS2.symbol -- -- Created: --- by - axel.amand.UNKNOWN (WE7860) --- at - 17:45:49 01.05.2023 +-- by - francois.francois (Aphelia) +-- at - 13:07:18 02/19/19 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) -- @@ -10,7 +10,10 @@ LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; -ENTITY lissajousGenerator_circuit_EBS3 IS +ENTITY lissajousGenerator_circuit_EBS2 IS + GENERIC( + bitNb : positive := 16 + ); PORT( clock : IN std_ulogic; reset_N : IN std_ulogic; @@ -21,7 +24,7 @@ ENTITY lissajousGenerator_circuit_EBS3 IS -- Declarations -END lissajousGenerator_circuit_EBS3 ; +END lissajousGenerator_circuit_EBS2 ; @@ -1340,109 +1343,12 @@ END struct; --- VHDL netlist generated by SCUBA Diamond (64-bit) 3.12.1.454 --- Module Version: 5.7 ---C:\lscc\diamond\3.12\ispfpga\bin\nt64\scuba.exe -w -n pll -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00 -type pll -fin 100.00 -fclkop 60 -fclkop_tol 10.0 -fclkos 75 -fclkos_tol 10.0 -phases 0 -fclkos2 50 -fclkos2_tol 10.0 -phases2 0 -fclkos3 10 -fclkos3_tol 10.0 -phases3 0 -phase_cntl STATIC -enable_s -enable_s2 -enable_s3 -pllLocked -fb_mode 1 -fdc C:/temp/clocker/pll/pll.fdc - --- Offers 10MHz, 50MHz, 60MHz and 75MHz clocks - -library IEEE; - use IEEE.std_logic_1164.all; -library ECP5U; - use ECP5U.components.all; - -ENTITY pll IS - PORT( - clkIn100M : IN std_ulogic; - en75M : IN std_ulogic; - en50M : IN std_ulogic; - en10M : IN std_ulogic; - clk60MHz : OUT std_ulogic; - clk75MHz : OUT std_ulogic; - clk50MHz : OUT std_ulogic; - clk10MHz : OUT std_ulogic; - pllLocked : OUT std_ulogic - ); - --- Declarations - -END pll ; - -architecture rtl of pll is - - -- internal signal declarations - signal REFCLK: std_logic; - signal CLKOS3_t: std_logic; - signal CLKOS2_t: std_logic; - signal CLKOS_t: std_logic; - signal CLKOP_t: std_logic; - signal scuba_vhi: std_logic; - signal scuba_vlo: std_logic; - - attribute FREQUENCY_PIN_CLKOS3 : string; - attribute FREQUENCY_PIN_CLKOS2 : string; - attribute FREQUENCY_PIN_CLKOS : string; - attribute FREQUENCY_PIN_CLKOP : string; - attribute FREQUENCY_PIN_CLKI : string; - attribute ICP_CURRENT : string; - attribute LPF_RESISTOR : string; - attribute FREQUENCY_PIN_CLKOS3 of PLLInst_0 : label is "10.000000"; - attribute FREQUENCY_PIN_CLKOS2 of PLLInst_0 : label is "50.000000"; - attribute FREQUENCY_PIN_CLKOS of PLLInst_0 : label is "75.000000"; - attribute FREQUENCY_PIN_CLKOP of PLLInst_0 : label is "60.000000"; - attribute FREQUENCY_PIN_CLKI of PLLInst_0 : label is "100.000000"; - attribute ICP_CURRENT of PLLInst_0 : label is "5"; - attribute LPF_RESISTOR of PLLInst_0 : label is "16"; - attribute syn_keep : boolean; - attribute NGD_DRC_MASK : integer; - attribute NGD_DRC_MASK of rtl : architecture is 1; - -begin - -- component instantiation statements - scuba_vhi_inst: VHI - port map (Z=>scuba_vhi); - - scuba_vlo_inst: VLO - port map (Z=>scuba_vlo); - - PLLInst_0: EHXPLLL - generic map (PLLRST_ENA=> "DISABLED", INTFB_WAKE=> "DISABLED", - STDBY_ENABLE=> "DISABLED", DPHASE_SOURCE=> "DISABLED", - CLKOS3_FPHASE=> 0, CLKOS3_CPHASE=> 59, CLKOS2_FPHASE=> 0, - CLKOS2_CPHASE=> 11, CLKOS_FPHASE=> 0, CLKOS_CPHASE=> 7, - CLKOP_FPHASE=> 0, CLKOP_CPHASE=> 9, PLL_LOCK_MODE=> 0, - CLKOS_TRIM_DELAY=> 0, CLKOS_TRIM_POL=> "FALLING", - CLKOP_TRIM_DELAY=> 0, CLKOP_TRIM_POL=> "FALLING", - OUTDIVIDER_MUXD=> "DIVD", CLKOS3_ENABLE=> "DISABLED", - OUTDIVIDER_MUXC=> "DIVC", CLKOS2_ENABLE=> "DISABLED", - OUTDIVIDER_MUXB=> "DIVB", CLKOS_ENABLE=> "DISABLED", - OUTDIVIDER_MUXA=> "DIVA", CLKOP_ENABLE=> "ENABLED", CLKOS3_DIV=> 60, - CLKOS2_DIV=> 12, CLKOS_DIV=> 8, CLKOP_DIV=> 10, CLKFB_DIV=> 3, - CLKI_DIV=> 5, FEEDBK_PATH=> "CLKOP") - port map (CLKI=>clkIn100M, CLKFB=>CLKOP_t, PHASESEL1=>scuba_vlo, - PHASESEL0=>scuba_vlo, PHASEDIR=>scuba_vlo, - PHASESTEP=>scuba_vlo, PHASELOADREG=>scuba_vlo, - STDBY=>scuba_vlo, PLLWAKESYNC=>scuba_vlo, RST=>scuba_vlo, - ENCLKOP=>scuba_vlo, ENCLKOS=>en75M, ENCLKOS2=>en50M, - ENCLKOS3=>en10M, CLKOP=>CLKOP_t, CLKOS=>CLKOS_t, - CLKOS2=>CLKOS2_t, CLKOS3=>CLKOS3_t, LOCK=>pllLocked, - INTLOCK=>open, REFCLK=>REFCLK, CLKINTFB=>open); - - clk10MHz <= CLKOS3_t; - clk50MHz <= CLKOS2_t; - clk75MHz <= CLKOS_t; - clk60MHz <= CLKOP_t; -end rtl; - - - - -- --- VHDL Architecture Board.lissajousGenerator_circuit_EBS3.masterVersion +-- VHDL Architecture Board.lissajousGenerator_circuit_EBS2.masterVersion -- -- Created: -- by - axel.amand.UNKNOWN (WE7860) --- at - 17:45:49 01.05.2023 +-- at - 14:46:55 28.04.2023 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) -- @@ -1451,10 +1357,9 @@ LIBRARY ieee; USE ieee.numeric_std.all; -- LIBRARY Board; --- LIBRARY Lattice; -- LIBRARY Lissajous; -ARCHITECTURE masterVersion OF lissajousGenerator_circuit_EBS3 IS +ARCHITECTURE masterVersion OF lissajousGenerator_circuit_EBS2 IS -- Architecture declarations constant signalBitNb: positive := 16; @@ -1463,12 +1368,10 @@ ARCHITECTURE masterVersion OF lissajousGenerator_circuit_EBS3 IS constant stepY: positive := 4; -- Internal signal declarations - SIGNAL clkSys : std_ulogic; - SIGNAL logic0 : std_ulogic; - SIGNAL logic1 : std_uLogic; - SIGNAL reset : std_ulogic; - SIGNAL resetSynch : std_ulogic; - SIGNAL resetSynch_N : std_ulogic; + SIGNAL logic1 : std_uLogic; + SIGNAL reset : std_ulogic; + SIGNAL resetSnch_N : std_ulogic; + SIGNAL resetSynch : std_ulogic; -- Component Declarations @@ -1486,19 +1389,6 @@ ARCHITECTURE masterVersion OF lissajousGenerator_circuit_EBS3 IS out1 : OUT std_uLogic ); END COMPONENT; - COMPONENT pll - PORT ( - clkIn100M : IN std_ulogic ; - en75M : IN std_ulogic ; - en50M : IN std_ulogic ; - en10M : IN std_ulogic ; - clk60MHz : OUT std_ulogic ; - clk75MHz : OUT std_ulogic ; - clk50MHz : OUT std_ulogic ; - clk10MHz : OUT std_ulogic ; - pllLocked : OUT std_ulogic - ); - END COMPONENT; COMPONENT lissajousGenerator GENERIC ( signalBitNb : positive := 16; @@ -1520,18 +1410,14 @@ ARCHITECTURE masterVersion OF lissajousGenerator_circuit_EBS3 IS -- FOR ALL : DFF USE ENTITY Board.DFF; -- FOR ALL : inverterIn USE ENTITY Board.inverterIn; -- FOR ALL : lissajousGenerator USE ENTITY Lissajous.lissajousGenerator; --- FOR ALL : pll USE ENTITY Lattice.pll; -- pragma synthesis_on BEGIN -- Architecture concurrent statements - -- HDL Embedded Text Block 5 eb5 + -- HDL Embedded Text Block 4 eb4 logic1 <= '1'; - -- HDL Embedded Text Block 6 eb6 - logic0 <= '0'; - -- Instance port mappings. I_dff : DFF @@ -1539,7 +1425,7 @@ BEGIN CLK => clock, CLR => reset, D => logic1, - Q => resetSynch_N + Q => resetSnch_N ); I_inv1 : inverterIn PORT MAP ( @@ -1548,21 +1434,9 @@ BEGIN ); I_inv2 : inverterIn PORT MAP ( - in1 => resetSynch_N, + in1 => resetSnch_N, out1 => resetSynch ); - U_pll : pll - PORT MAP ( - clkIn100M => clock, - en75M => logic0, - en50M => logic0, - en10M => logic0, - clk60MHz => clkSys, - clk75MHz => OPEN, - clk50MHz => OPEN, - clk10MHz => OPEN, - pllLocked => OPEN - ); I_main : lissajousGenerator GENERIC MAP ( signalBitNb => signalBitNb, @@ -1571,7 +1445,7 @@ BEGIN stepY => stepY ) PORT MAP ( - clock => clkSys, + clock => clock, reset => resetSynch, triggerOut => triggerOut, xOut => xOut, diff --git a/zz-solutions/04-Lissajous/Board/hdl/dff_entity.vhg b/zz-solutions/04-Lissajous/Board/hdl/dff_entity.vhg new file mode 100644 index 0000000..6fe70bd --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hdl/dff_entity.vhg @@ -0,0 +1,23 @@ +-- VHDL Entity Board.DFF.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:05 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY DFF IS + PORT( + CLK : IN std_uLogic; + CLR : IN std_uLogic; + D : IN std_uLogic; + Q : OUT std_uLogic + ); + +-- Declarations + +END DFF ; + diff --git a/zz-solutions/04-Lissajous/Board/hdl/inverterin_entity.vhg b/zz-solutions/04-Lissajous/Board/hdl/inverterin_entity.vhg new file mode 100644 index 0000000..dc8a659 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hdl/inverterin_entity.vhg @@ -0,0 +1,21 @@ +-- VHDL Entity Board.inverterIn.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:14 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY inverterIn IS + PORT( + in1 : IN std_uLogic; + out1 : OUT std_uLogic + ); + +-- Declarations + +END inverterIn ; + diff --git a/zz-solutions/04-Lissajous/Board/hdl/lissajousgenerator_circuit_ebs2_entity.vhg b/zz-solutions/04-Lissajous/Board/hdl/lissajousgenerator_circuit_ebs2_entity.vhg new file mode 100644 index 0000000..02bcef2 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hdl/lissajousgenerator_circuit_ebs2_entity.vhg @@ -0,0 +1,28 @@ +-- VHDL Entity Board.lissajousGenerator_circuit_EBS2.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:18 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY lissajousGenerator_circuit_EBS2 IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + clock : IN std_ulogic; + reset_N : IN std_ulogic; + triggerOut : OUT std_ulogic; + xOut : OUT std_ulogic; + yOut : OUT std_ulogic + ); + +-- Declarations + +END lissajousGenerator_circuit_EBS2 ; + diff --git a/zz-solutions/04-Lissajous/Board/hdl/lissajousgenerator_circuit_ebs2_masterversion.vhg b/zz-solutions/04-Lissajous/Board/hdl/lissajousgenerator_circuit_ebs2_masterversion.vhg new file mode 100644 index 0000000..0c4441d --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hdl/lissajousgenerator_circuit_ebs2_masterversion.vhg @@ -0,0 +1,110 @@ +-- +-- VHDL Architecture Board.lissajousGenerator_circuit_EBS2.masterVersion +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:46:55 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +LIBRARY Board; +LIBRARY Lissajous; + +ARCHITECTURE masterVersion OF lissajousGenerator_circuit_EBS2 IS + + -- Architecture declarations + constant signalBitNb: positive := 16; + constant phaseBitNb: positive := 17; + constant stepX: positive := 3; + constant stepY: positive := 4; + + -- Internal signal declarations + SIGNAL logic1 : std_uLogic; + SIGNAL reset : std_ulogic; + SIGNAL resetSnch_N : std_ulogic; + SIGNAL resetSynch : std_ulogic; + + + -- Component Declarations + COMPONENT DFF + PORT ( + CLK : IN std_uLogic ; + CLR : IN std_uLogic ; + D : IN std_uLogic ; + Q : OUT std_uLogic + ); + END COMPONENT; + COMPONENT inverterIn + PORT ( + in1 : IN std_uLogic ; + out1 : OUT std_uLogic + ); + END COMPONENT; + COMPONENT lissajousGenerator + GENERIC ( + signalBitNb : positive := 16; + phaseBitNb : positive := 16; + stepX : positive := 1; + stepY : positive := 1 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + triggerOut : OUT std_ulogic ; + xOut : OUT std_ulogic ; + yOut : OUT std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : DFF USE ENTITY Board.DFF; + FOR ALL : inverterIn USE ENTITY Board.inverterIn; + FOR ALL : lissajousGenerator USE ENTITY Lissajous.lissajousGenerator; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 4 eb4 + logic1 <= '1'; + + + -- Instance port mappings. + I_dff : DFF + PORT MAP ( + CLK => clock, + CLR => reset, + D => logic1, + Q => resetSnch_N + ); + I_inv1 : inverterIn + PORT MAP ( + in1 => reset_N, + out1 => reset + ); + I_inv2 : inverterIn + PORT MAP ( + in1 => resetSnch_N, + out1 => resetSynch + ); + I_main : lissajousGenerator + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb, + stepX => stepX, + stepY => stepY + ) + PORT MAP ( + clock => clock, + reset => resetSynch, + triggerOut => triggerOut, + xOut => xOut, + yOut => yOut + ); + +END masterVersion; diff --git a/zz-solutions/04-Lissajous/Board/hdl/lissajousgenerator_circuit_ebs3_entity.vhg b/zz-solutions/04-Lissajous/Board/hdl/lissajousgenerator_circuit_ebs3_entity.vhg new file mode 100644 index 0000000..329a941 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hdl/lissajousgenerator_circuit_ebs3_entity.vhg @@ -0,0 +1,25 @@ +-- VHDL Entity Board.lissajousGenerator_circuit_EBS3.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 17:45:49 01.05.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY lissajousGenerator_circuit_EBS3 IS + PORT( + clock : IN std_ulogic; + reset_N : IN std_ulogic; + triggerOut : OUT std_ulogic; + xOut : OUT std_ulogic; + yOut : OUT std_ulogic + ); + +-- Declarations + +END lissajousGenerator_circuit_EBS3 ; + diff --git a/zz-solutions/04-Lissajous/Board/hdl/lissajousgenerator_circuit_ebs3_masterversion.vhg b/zz-solutions/04-Lissajous/Board/hdl/lissajousgenerator_circuit_ebs3_masterversion.vhg new file mode 100644 index 0000000..8806f69 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hdl/lissajousgenerator_circuit_ebs3_masterversion.vhg @@ -0,0 +1,142 @@ +-- +-- VHDL Architecture Board.lissajousGenerator_circuit_EBS3.masterVersion +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 17:45:49 01.05.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +LIBRARY Board; +LIBRARY Lattice; +LIBRARY Lissajous; + +ARCHITECTURE masterVersion OF lissajousGenerator_circuit_EBS3 IS + + -- Architecture declarations + constant signalBitNb: positive := 16; + constant phaseBitNb: positive := 17; + constant stepX: positive := 3; + constant stepY: positive := 4; + + -- Internal signal declarations + SIGNAL clkSys : std_ulogic; + SIGNAL logic0 : std_ulogic; + SIGNAL logic1 : std_uLogic; + SIGNAL reset : std_ulogic; + SIGNAL resetSynch : std_ulogic; + SIGNAL resetSynch_N : std_ulogic; + + + -- Component Declarations + COMPONENT DFF + PORT ( + CLK : IN std_uLogic ; + CLR : IN std_uLogic ; + D : IN std_uLogic ; + Q : OUT std_uLogic + ); + END COMPONENT; + COMPONENT inverterIn + PORT ( + in1 : IN std_uLogic ; + out1 : OUT std_uLogic + ); + END COMPONENT; + COMPONENT pll + PORT ( + clkIn100M : IN std_ulogic; + en10M : IN std_ulogic; + en50M : IN std_ulogic; + en75M : IN std_ulogic; + clk10MHz : OUT std_ulogic; + clk50MHz : OUT std_ulogic; + clk60MHz : OUT std_ulogic; + clk75MHz : OUT std_ulogic; + pllLocked : OUT std_ulogic + ); + END COMPONENT; + COMPONENT lissajousGenerator + GENERIC ( + signalBitNb : positive := 16; + phaseBitNb : positive := 16; + stepX : positive := 1; + stepY : positive := 1 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + triggerOut : OUT std_ulogic ; + xOut : OUT std_ulogic ; + yOut : OUT std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : DFF USE ENTITY Board.DFF; + FOR ALL : inverterIn USE ENTITY Board.inverterIn; + FOR ALL : lissajousGenerator USE ENTITY Lissajous.lissajousGenerator; + FOR ALL : pll USE ENTITY Lattice.pll; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 5 eb5 + logic1 <= '1'; + + -- HDL Embedded Text Block 6 eb6 + logic0 <= '0'; + + + -- Instance port mappings. + I_dff : DFF + PORT MAP ( + CLK => clock, + CLR => reset, + D => logic1, + Q => resetSynch_N + ); + I_inv1 : inverterIn + PORT MAP ( + in1 => reset_N, + out1 => reset + ); + I_inv2 : inverterIn + PORT MAP ( + in1 => resetSynch_N, + out1 => resetSynch + ); + U_pll : pll + PORT MAP ( + clkIn100M => clock, + en75M => logic0, + en50M => logic0, + en10M => logic0, + clk60MHz => clkSys, + clk75MHz => OPEN, + clk50MHz => OPEN, + clk10MHz => OPEN, + pllLocked => OPEN + ); + I_main : lissajousGenerator + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb, + stepX => stepX, + stepY => stepY + ) + PORT MAP ( + clock => clkSys, + reset => resetSynch, + triggerOut => triggerOut, + xOut => xOut, + yOut => yOut + ); + +END masterVersion; diff --git a/zz-solutions/04-Lissajous/Board/hds/.cache.dat b/zz-solutions/04-Lissajous/Board/hds/.cache.dat new file mode 100644 index 0000000..f501c6b Binary files /dev/null and b/zz-solutions/04-Lissajous/Board/hds/.cache.dat differ diff --git a/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_ebs2_entity.vhg._fpf b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_ebs2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_ebs2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_ebs2_masterversion.vhg._fpf b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_ebs2_masterversion.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_ebs2_masterversion.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/zz-solutions/04-Lissajous/Board/hds/.xrf/dff_entity.xrf b/zz-solutions/04-Lissajous/Board/hds/.xrf/dff_entity.xrf new file mode 100644 index 0000000..5ac66a1 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.xrf/dff_entity.xrf @@ -0,0 +1,24 @@ +DESIGN @d@f@f +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN @d@f@f +VIEW symbol.sb +GRAPHIC 98,0 8 0 +DESIGN @d@f@f +VIEW symbol.sb +GRAPHIC 57,0 13 0 +DESIGN @d@f@f +VIEW symbol.sb +GRAPHIC 63,0 14 0 +DESIGN @d@f@f +VIEW symbol.sb +GRAPHIC 51,0 15 0 +DESIGN @d@f@f +VIEW symbol.sb +GRAPHIC 69,0 16 0 +DESIGN @d@f@f +VIEW symbol.sb +GRAPHIC 1,0 19 0 +DESIGN @d@f@f +VIEW symbol.sb +GRAPHIC 1,0 20 0 diff --git a/zz-solutions/04-Lissajous/Board/hds/.xrf/inverterin_entity.xrf b/zz-solutions/04-Lissajous/Board/hds/.xrf/inverterin_entity.xrf new file mode 100644 index 0000000..eb8dac1 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.xrf/inverterin_entity.xrf @@ -0,0 +1,18 @@ +DESIGN inverter@in +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN inverter@in +VIEW symbol.sb +GRAPHIC 41,0 8 0 +DESIGN inverter@in +VIEW symbol.sb +GRAPHIC 16,0 13 0 +DESIGN inverter@in +VIEW symbol.sb +GRAPHIC 22,0 14 0 +DESIGN inverter@in +VIEW symbol.sb +GRAPHIC 31,0 17 0 +DESIGN inverter@in +VIEW symbol.sb +GRAPHIC 31,0 18 0 diff --git a/zz-solutions/04-Lissajous/Board/hds/.xrf/lissajousgenerator_circuit_ebs2_entity.xrf b/zz-solutions/04-Lissajous/Board/hds/.xrf/lissajousgenerator_circuit_ebs2_entity.xrf new file mode 100644 index 0000000..a771eab --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.xrf/lissajousgenerator_circuit_ebs2_entity.xrf @@ -0,0 +1,30 @@ +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW symbol.sb +GRAPHIC 13,0 13 1 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW symbol.sb +GRAPHIC 52,0 17 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW symbol.sb +GRAPHIC 83,0 18 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW symbol.sb +GRAPHIC 88,0 19 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW symbol.sb +GRAPHIC 93,0 20 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW symbol.sb +GRAPHIC 98,0 21 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW symbol.sb +GRAPHIC 1,0 24 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW symbol.sb +GRAPHIC 1,0 25 0 diff --git a/zz-solutions/04-Lissajous/Board/hds/.xrf/lissajousgenerator_circuit_ebs2_masterversion.xrf b/zz-solutions/04-Lissajous/Board/hds/.xrf/lissajousgenerator_circuit_ebs2_masterversion.xrf new file mode 100644 index 0000000..1032f7b --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.xrf/lissajousgenerator_circuit_ebs2_masterversion.xrf @@ -0,0 +1,165 @@ +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +NO_GRAPHIC 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 84,0 9 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +NO_GRAPHIC 12 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 0,0 16 2 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 1,0 19 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +NO_GRAPHIC 19 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 895,0 25 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 49,0 26 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 893,0 27 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 897,0 28 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +NO_GRAPHIC 29 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +NO_GRAPHIC 30 +LIBRARY Board +DESIGN @d@f@f +VIEW sim +GRAPHIC 1071,0 32 0 +DESIGN @d@f@f +VIEW symbol.sb +GRAPHIC 57,0 34 0 +DESIGN @d@f@f +VIEW symbol.sb +GRAPHIC 63,0 35 0 +DESIGN @d@f@f +VIEW symbol.sb +GRAPHIC 51,0 36 0 +DESIGN @d@f@f +VIEW symbol.sb +GRAPHIC 69,0 37 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 1817,0 40 0 +DESIGN inverter@in +VIEW symbol.sb +GRAPHIC 16,0 42 0 +DESIGN inverter@in +VIEW symbol.sb +GRAPHIC 22,0 43 0 +LIBRARY Lissajous +DESIGN lissajous@generator +VIEW struct +GRAPHIC 2310,0 46 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 14,0 47 1 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 52,0 54 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 428,0 55 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 88,0 56 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 93,0 57 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 98,0 58 0 +LIBRARY Board +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +NO_GRAPHIC 61 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 1071,0 64 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 1817,0 65 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 2310,0 66 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +NO_GRAPHIC 69 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 818,0 72 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +NO_GRAPHIC 74 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +NO_GRAPHIC 75 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 1071,0 77 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 873,0 79 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 879,0 80 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 887,0 81 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 883,0 82 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 1817,0 84 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 43,0 86 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 879,0 87 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 1806,0 89 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 883,0 91 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 245,0 92 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 2310,0 94 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 2317,0 95 1 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 15,0 102 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 245,0 103 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 435,0 104 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 575,0 105 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +GRAPHIC 29,0 106 0 +DESIGN lissajous@generator_circuit_@e@b@s2 +VIEW master@version.bd +NO_GRAPHIC 109 diff --git a/zz-solutions/04-Lissajous/Board/hds/.xrf/lissajousgenerator_circuit_ebs3_entity.xrf b/zz-solutions/04-Lissajous/Board/hds/.xrf/lissajousgenerator_circuit_ebs3_entity.xrf new file mode 100644 index 0000000..6a74e71 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.xrf/lissajousgenerator_circuit_ebs3_entity.xrf @@ -0,0 +1,27 @@ +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW symbol.sb +GRAPHIC 118,0 14 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW symbol.sb +GRAPHIC 128,0 15 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW symbol.sb +GRAPHIC 123,0 16 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW symbol.sb +GRAPHIC 133,0 17 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW symbol.sb +GRAPHIC 138,0 18 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW symbol.sb +GRAPHIC 1,0 21 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW symbol.sb +GRAPHIC 1,0 22 0 diff --git a/zz-solutions/04-Lissajous/Board/hds/.xrf/lissajousgenerator_circuit_ebs3_masterversion.xrf b/zz-solutions/04-Lissajous/Board/hds/.xrf/lissajousgenerator_circuit_ebs3_masterversion.xrf new file mode 100644 index 0000000..38200c9 --- /dev/null +++ b/zz-solutions/04-Lissajous/Board/hds/.xrf/lissajousgenerator_circuit_ebs3_masterversion.xrf @@ -0,0 +1,205 @@ +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +NO_GRAPHIC 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 41,0 9 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +NO_GRAPHIC 12 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 0,0 17 2 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 1,0 20 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +NO_GRAPHIC 20 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 380,0 26 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 411,0 27 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 370,0 28 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 360,0 29 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 368,0 30 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 464,0 31 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +NO_GRAPHIC 32 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +NO_GRAPHIC 33 +LIBRARY Board +DESIGN @d@f@f +VIEW sim +GRAPHIC 219,0 35 0 +DESIGN @d@f@f +VIEW symbol.sb +GRAPHIC 57,0 37 0 +DESIGN @d@f@f +VIEW symbol.sb +GRAPHIC 63,0 38 0 +DESIGN @d@f@f +VIEW symbol.sb +GRAPHIC 51,0 39 0 +DESIGN @d@f@f +VIEW symbol.sb +GRAPHIC 69,0 40 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 199,0 43 0 +DESIGN inverter@in +VIEW symbol.sb +GRAPHIC 16,0 45 0 +DESIGN inverter@in +VIEW symbol.sb +GRAPHIC 22,0 46 0 +LIBRARY Board +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version +GRAPHIC 168,0 49 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +NO_GRAPHIC 60 +LIBRARY Lissajous +DESIGN lissajous@generator +VIEW struct +GRAPHIC 265,0 62 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 14,0 63 1 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 52,0 70 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 428,0 71 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 88,0 72 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 93,0 73 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 98,0 74 0 +LIBRARY Board +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +NO_GRAPHIC 77 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 219,0 80 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 199,0 81 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 265,0 82 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 168,0 83 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +NO_GRAPHIC 86 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 190,0 89 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +NO_GRAPHIC 91 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 382,0 92 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +NO_GRAPHIC 94 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +NO_GRAPHIC 95 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 219,0 97 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 328,0 99 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 320,0 100 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 338,0 101 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 334,0 102 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 199,0 104 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 348,0 106 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 320,0 107 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 245,0 109 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 334,0 111 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 352,0 112 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 168,0 114 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 312,0 116 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 393,0 117 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 405,0 118 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 399,0 119 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 376,0 120 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 265,0 126 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 272,0 127 1 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 376,0 134 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 352,0 135 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 324,0 136 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 344,0 137 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +GRAPHIC 316,0 138 0 +DESIGN lissajous@generator_circuit_@e@b@s3 +VIEW master@version.bd +NO_GRAPHIC 141 diff --git a/zz-solutions/04-Lissajous/Lissajous/hdl/lissajousgenerator_entity.vhg b/zz-solutions/04-Lissajous/Lissajous/hdl/lissajousgenerator_entity.vhg new file mode 100644 index 0000000..a4959d5 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous/hdl/lissajousgenerator_entity.vhg @@ -0,0 +1,31 @@ +-- VHDL Entity Lissajous.lissajousGenerator.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:53 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY lissajousGenerator IS + GENERIC( + signalBitNb : positive := 16; + phaseBitNb : positive := 16; + stepX : positive := 1; + stepY : positive := 1 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + triggerOut : OUT std_ulogic; + xOut : OUT std_ulogic; + yOut : OUT std_ulogic + ); + +-- Declarations + +END lissajousGenerator ; + diff --git a/zz-solutions/04-Lissajous/Lissajous/hdl/lissajousgenerator_struct.vhg b/zz-solutions/04-Lissajous/Lissajous/hdl/lissajousgenerator_struct.vhg new file mode 100644 index 0000000..4eef164 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous/hdl/lissajousgenerator_struct.vhg @@ -0,0 +1,126 @@ +-- +-- VHDL Architecture Lissajous.lissajousGenerator.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:47:09 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +LIBRARY DigitalToAnalogConverter; +LIBRARY SplineInterpolator; + +ARCHITECTURE struct OF lissajousGenerator IS + + -- Architecture declarations + + -- Internal signal declarations + SIGNAL sineX : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL sineY : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL squareY : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL stepXUnsigned : unsigned(phaseBitNb-1 DOWNTO 0); + SIGNAL stepYUnsigned : unsigned(phaseBitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT DAC + GENERIC ( + signalBitNb : positive := 16 + ); + PORT ( + serialOut : OUT std_ulogic ; + parallelIn : IN unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic + ); + END COMPONENT; + COMPONENT sineGen + GENERIC ( + signalBitNb : positive := 16; + phaseBitNb : positive := 10 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + step : IN unsigned (phaseBitNb-1 DOWNTO 0); + sawtooth : OUT unsigned (signalBitNb-1 DOWNTO 0); + sine : OUT unsigned (signalBitNb-1 DOWNTO 0); + square : OUT unsigned (signalBitNb-1 DOWNTO 0); + triangle : OUT unsigned (signalBitNb-1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : DAC USE ENTITY DigitalToAnalogConverter.DAC; + FOR ALL : sineGen USE ENTITY SplineInterpolator.sineGen; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 eb1 + triggerOut <= squareY(squareY'high); + + -- HDL Embedded Text Block 2 eb2 + stepXUnsigned <= to_unsigned(stepX, stepXUnsigned'length); + + -- HDL Embedded Text Block 3 eb3 + stepYUnsigned <= to_unsigned(stepY, stepYUnsigned'length); + + + -- Instance port mappings. + I_dacX : DAC + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + serialOut => xOut, + parallelIn => sineX, + clock => clock, + reset => reset + ); + I_dacY : DAC + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + serialOut => yOut, + parallelIn => sineY, + clock => clock, + reset => reset + ); + I_sinX : sineGen + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + step => stepXUnsigned, + sawtooth => OPEN, + sine => sineX, + square => OPEN, + triangle => OPEN + ); + I_sinY : sineGen + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + step => stepYUnsigned, + sawtooth => OPEN, + sine => sineY, + square => squareY, + triangle => OPEN + ); + +END struct; diff --git a/zz-solutions/04-Lissajous/Lissajous/hds/.cache.dat b/zz-solutions/04-Lissajous/Lissajous/hds/.cache.dat new file mode 100644 index 0000000..86c4cca Binary files /dev/null and b/zz-solutions/04-Lissajous/Lissajous/hds/.cache.dat differ diff --git a/zz-solutions/04-Lissajous/Lissajous/hds/.xrf/lissajousgenerator_entity.xrf b/zz-solutions/04-Lissajous/Lissajous/hds/.xrf/lissajousgenerator_entity.xrf new file mode 100644 index 0000000..2eee8f1 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous/hds/.xrf/lissajousgenerator_entity.xrf @@ -0,0 +1,30 @@ +DESIGN lissajous@generator +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 13,0 13 1 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 52,0 20 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 428,0 21 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 88,0 22 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 93,0 23 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 98,0 24 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 1,0 27 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 1,0 28 0 diff --git a/zz-solutions/04-Lissajous/Lissajous/hds/.xrf/lissajousgenerator_struct.xrf b/zz-solutions/04-Lissajous/Lissajous/hds/.xrf/lissajousgenerator_struct.xrf new file mode 100644 index 0000000..35edcb7 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous/hds/.xrf/lissajousgenerator_struct.xrf @@ -0,0 +1,192 @@ +DESIGN lissajous@generator +VIEW struct.bd +NO_GRAPHIC 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 84,0 9 0 +DESIGN lissajous@generator +VIEW struct.bd +NO_GRAPHIC 12 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 0,0 16 2 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 617,0 21 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 1631,0 22 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 1652,0 23 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 2512,0 24 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 2510,0 25 0 +DESIGN lissajous@generator +VIEW struct.bd +NO_GRAPHIC 26 +DESIGN lissajous@generator +VIEW struct.bd +NO_GRAPHIC 27 +LIBRARY DigitalToAnalogConverter +DESIGN @d@a@c +VIEW master@version +GRAPHIC 2187,0 29 0 +DESIGN @d@a@c +VIEW symbol.sb +GRAPHIC 14,0 30 1 +DESIGN @d@a@c +VIEW symbol.sb +GRAPHIC 67,0 34 0 +DESIGN @d@a@c +VIEW symbol.sb +GRAPHIC 57,0 35 0 +DESIGN @d@a@c +VIEW symbol.sb +GRAPHIC 52,0 36 0 +DESIGN @d@a@c +VIEW symbol.sb +GRAPHIC 76,0 37 0 +LIBRARY SplineInterpolator +DESIGN sine@gen +VIEW struct +GRAPHIC 2090,0 40 0 +DESIGN sine@gen +VIEW symbol.sb +GRAPHIC 14,0 41 1 +DESIGN sine@gen +VIEW symbol.sb +GRAPHIC 52,0 46 0 +DESIGN sine@gen +VIEW symbol.sb +GRAPHIC 88,0 47 0 +DESIGN sine@gen +VIEW symbol.sb +GRAPHIC 128,0 48 0 +DESIGN sine@gen +VIEW symbol.sb +GRAPHIC 98,0 49 0 +DESIGN sine@gen +VIEW symbol.sb +GRAPHIC 103,0 50 0 +DESIGN sine@gen +VIEW symbol.sb +GRAPHIC 108,0 51 0 +DESIGN sine@gen +VIEW symbol.sb +GRAPHIC 118,0 52 0 +LIBRARY Lissajous +DESIGN lissajous@generator +VIEW struct.bd +NO_GRAPHIC 55 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 2187,0 58 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 2090,0 59 0 +DESIGN lissajous@generator +VIEW struct.bd +NO_GRAPHIC 62 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 443,0 65 0 +DESIGN lissajous@generator +VIEW struct.bd +NO_GRAPHIC 67 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 1324,0 68 0 +DESIGN lissajous@generator +VIEW struct.bd +NO_GRAPHIC 70 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 1637,0 71 0 +DESIGN lissajous@generator +VIEW struct.bd +NO_GRAPHIC 73 +DESIGN lissajous@generator +VIEW struct.bd +NO_GRAPHIC 74 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 2187,0 76 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 2194,0 77 1 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 575,0 81 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 579,0 82 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 583,0 83 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 589,0 84 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 2162,0 86 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 2169,0 87 1 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 29,0 91 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 1613,0 92 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 1617,0 93 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 1623,0 94 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 2090,0 96 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 2097,0 97 1 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 2349,0 102 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 2341,0 103 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 1335,0 104 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 579,0 106 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 2053,0 110 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 2060,0 111 1 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 15,0 116 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 2357,0 117 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 1341,0 118 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 1613,0 120 0 +DESIGN lissajous@generator +VIEW struct.bd +GRAPHIC 450,0 121 0 +DESIGN lissajous@generator +VIEW struct.bd +NO_GRAPHIC 125 diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hdl/lissajousgenerator_test_entity.vhg b/zz-solutions/04-Lissajous/Lissajous_test/hdl/lissajousgenerator_test_entity.vhg new file mode 100644 index 0000000..a1af80e --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hdl/lissajousgenerator_test_entity.vhg @@ -0,0 +1,15 @@ +-- VHDL Entity Lissajous_test.lissajousGenerator_test.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:27 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- + + +ENTITY lissajousGenerator_test IS +-- Declarations + +END lissajousGenerator_test ; + diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hdl/lissajousgenerator_test_struct.vhg b/zz-solutions/04-Lissajous/Lissajous_test/hdl/lissajousgenerator_test_struct.vhg new file mode 100644 index 0000000..c208b50 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hdl/lissajousgenerator_test_struct.vhg @@ -0,0 +1,152 @@ +-- +-- VHDL Architecture Lissajous_test.lissajousGenerator_test.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:48:46 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; + +LIBRARY Lissajous; +LIBRARY Lissajous_test; +LIBRARY WaveformGenerator; + +ARCHITECTURE struct OF lissajousGenerator_test IS + + -- Architecture declarations + constant signalBitNb: positive := 16; + constant phaseBitNb: positive := 17; + constant stepX: positive := 2; + constant stepY: positive := 3; + constant lowpassShiftBitNb: positive := 8; + constant clockFrequency: real := 60.0E6; + --constant clockFrequency: real := 66.0E6; + + -- Internal signal declarations + SIGNAL clock : std_ulogic; + SIGNAL reset : std_ulogic; + SIGNAL triggerOut : std_ulogic; + SIGNAL xLowapss : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL xParallel : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL xSerial : std_ulogic; + SIGNAL yLowpass : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL yParallel : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL ySerial : std_ulogic; + + + -- Component Declarations + COMPONENT lissajousGenerator + GENERIC ( + signalBitNb : positive := 16; + phaseBitNb : positive := 16; + stepX : positive := 1; + stepY : positive := 1 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + triggerOut : OUT std_ulogic ; + xOut : OUT std_ulogic ; + yOut : OUT std_ulogic + ); + END COMPONENT; + COMPONENT lissajousGenerator_tester + GENERIC ( + signalBitNb : positive := 16; + clockFrequency : real := 60.0E6 + ); + PORT ( + triggerOut : IN std_ulogic ; + xLowapss : IN unsigned (signalBitNb-1 DOWNTO 0); + xSerial : IN std_ulogic ; + yLowpass : IN unsigned (signalBitNb-1 DOWNTO 0); + ySerial : IN std_ulogic ; + clock : OUT std_ulogic ; + reset : OUT std_ulogic + ); + END COMPONENT; + COMPONENT lowpass + GENERIC ( + signalBitNb : positive := 16; + shiftBitNb : positive := 12 + ); + PORT ( + lowpassOut : OUT unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic ; + lowpassIn : IN unsigned (signalBitNb-1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : lissajousGenerator USE ENTITY Lissajous.lissajousGenerator; + FOR ALL : lissajousGenerator_tester USE ENTITY Lissajous_test.lissajousGenerator_tester; + FOR ALL : lowpass USE ENTITY WaveformGenerator.lowpass; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 eb1 + xParallel <= (others => xSerial); + yParallel <= (others => ySerial); + + + -- Instance port mappings. + I_DUT : lissajousGenerator + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb, + stepX => stepX, + stepY => stepY + ) + PORT MAP ( + clock => clock, + reset => reset, + triggerOut => triggerOut, + xOut => xSerial, + yOut => ySerial + ); + I_tester : lissajousGenerator_tester + GENERIC MAP ( + signalBitNb => signalBitNb, + clockFrequency => clockFrequency + ) + PORT MAP ( + triggerOut => triggerOut, + xLowapss => xLowapss, + xSerial => xSerial, + yLowpass => yLowpass, + ySerial => ySerial, + clock => clock, + reset => reset + ); + I_filtX : lowpass + GENERIC MAP ( + signalBitNb => signalBitNb, + shiftBitNb => lowpassShiftBitNb + ) + PORT MAP ( + lowpassOut => xLowapss, + clock => clock, + reset => reset, + lowpassIn => xParallel + ); + I_filty : lowpass + GENERIC MAP ( + signalBitNb => signalBitNb, + shiftBitNb => lowpassShiftBitNb + ) + PORT MAP ( + lowpassOut => yLowpass, + clock => clock, + reset => reset, + lowpassIn => yParallel + ); + +END struct; diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hdl/lissajousgenerator_tester_entity.vhg b/zz-solutions/04-Lissajous/Lissajous_test/hdl/lissajousgenerator_tester_entity.vhg new file mode 100644 index 0000000..b5d8edf --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hdl/lissajousgenerator_tester_entity.vhg @@ -0,0 +1,31 @@ +-- VHDL Entity Lissajous_test.lissajousGenerator_tester.interface +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:48:11 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; + +ENTITY lissajousGenerator_tester IS + GENERIC( + signalBitNb : positive := 16; + clockFrequency : real := 60.0E6 + ); + PORT( + triggerOut : IN std_ulogic; + xLowapss : IN unsigned (signalBitNb-1 DOWNTO 0); + xSerial : IN std_ulogic; + yLowpass : IN unsigned (signalBitNb-1 DOWNTO 0); + ySerial : IN std_ulogic; + clock : OUT std_ulogic; + reset : OUT std_ulogic + ); + +-- Declarations + +END lissajousGenerator_tester ; + diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hds/.cache.dat b/zz-solutions/04-Lissajous/Lissajous_test/hds/.cache.dat new file mode 100644 index 0000000..f0afc90 Binary files /dev/null and b/zz-solutions/04-Lissajous/Lissajous_test/hds/.cache.dat differ diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hds/.xrf/lissajousgenerator_test_entity.xrf b/zz-solutions/04-Lissajous/Lissajous_test/hds/.xrf/lissajousgenerator_test_entity.xrf new file mode 100644 index 0000000..6449a64 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hds/.xrf/lissajousgenerator_test_entity.xrf @@ -0,0 +1,12 @@ +DESIGN lissajous@generator_test +VIEW symbol.sb +NO_GRAPHIC 0 +DESIGN lissajous@generator_test +VIEW symbol.sb +GRAPHIC 50,0 8 0 +DESIGN lissajous@generator_test +VIEW symbol.sb +GRAPHIC 1,0 11 0 +DESIGN lissajous@generator_test +VIEW symbol.sb +GRAPHIC 1,0 12 0 diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hds/.xrf/lissajousgenerator_test_struct.xrf b/zz-solutions/04-Lissajous/Lissajous_test/hds/.xrf/lissajousgenerator_test_struct.xrf new file mode 100644 index 0000000..73afa49 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hds/.xrf/lissajousgenerator_test_struct.xrf @@ -0,0 +1,211 @@ +DESIGN lissajous@generator_test +VIEW struct.bd +NO_GRAPHIC 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 142,0 9 0 +DESIGN lissajous@generator_test +VIEW struct.bd +NO_GRAPHIC 12 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 0,0 17 2 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1,0 20 0 +DESIGN lissajous@generator_test +VIEW struct.bd +NO_GRAPHIC 20 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1562,0 29 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1554,0 30 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1827,0 31 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1695,0 32 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1697,0 33 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1693,0 34 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1744,0 35 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1762,0 36 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1683,0 37 0 +DESIGN lissajous@generator_test +VIEW struct.bd +NO_GRAPHIC 38 +DESIGN lissajous@generator_test +VIEW struct.bd +NO_GRAPHIC 39 +LIBRARY Lissajous +DESIGN lissajous@generator +VIEW struct +GRAPHIC 1594,0 41 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 14,0 42 1 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 52,0 49 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 428,0 50 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 88,0 51 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 93,0 52 0 +DESIGN lissajous@generator +VIEW symbol.sb +GRAPHIC 98,0 53 0 +LIBRARY Lissajous_test +DESIGN lissajous@generator_tester +VIEW test +GRAPHIC 421,0 56 0 +DESIGN lissajous@generator_tester +VIEW interface +GRAPHIC 14,0 57 1 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1829,0 62 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1665,0 63 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1687,0 64 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1738,0 65 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1637,0 66 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1564,0 67 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1556,0 68 0 +LIBRARY WaveformGenerator +DESIGN lowpass +VIEW master@version +GRAPHIC 1612,0 71 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 14,0 72 1 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 57,0 77 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 52,0 78 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 76,0 79 0 +DESIGN lowpass +VIEW symbol.sb +GRAPHIC 83,0 80 0 +LIBRARY Lissajous_test +DESIGN lissajous@generator_test +VIEW struct.bd +NO_GRAPHIC 83 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1594,0 86 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 421,0 87 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1612,0 88 0 +DESIGN lissajous@generator_test +VIEW struct.bd +NO_GRAPHIC 91 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1603,0 94 0 +DESIGN lissajous@generator_test +VIEW struct.bd +NO_GRAPHIC 97 +DESIGN lissajous@generator_test +VIEW struct.bd +NO_GRAPHIC 98 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1594,0 100 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1601,0 101 1 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1564,0 108 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1556,0 109 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1829,0 110 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1687,0 111 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1637,0 112 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 421,0 114 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 428,0 115 1 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1612,0 128 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1619,0 129 1 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1665,0 134 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1659,0 135 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1653,0 136 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1671,0 137 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1699,0 139 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1706,0 140 1 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1738,0 145 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1724,0 146 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1730,0 147 0 +DESIGN lissajous@generator_test +VIEW struct.bd +GRAPHIC 1756,0 148 0 +DESIGN lissajous@generator_test +VIEW struct.bd +NO_GRAPHIC 151 diff --git a/zz-solutions/04-Lissajous/Lissajous_test/hds/.xrf/lissajousgenerator_tester_entity.xrf b/zz-solutions/04-Lissajous/Lissajous_test/hds/.xrf/lissajousgenerator_tester_entity.xrf new file mode 100644 index 0000000..6a66fe1 --- /dev/null +++ b/zz-solutions/04-Lissajous/Lissajous_test/hds/.xrf/lissajousgenerator_tester_entity.xrf @@ -0,0 +1,36 @@ +DESIGN lissajous@generator_tester +VIEW interface +NO_GRAPHIC 0 +DESIGN lissajous@generator_tester +VIEW interface +GRAPHIC 50,0 8 0 +DESIGN lissajous@generator_tester +VIEW interface +GRAPHIC 13,0 13 1 +DESIGN lissajous@generator_tester +VIEW interface +GRAPHIC 659,0 18 0 +DESIGN lissajous@generator_tester +VIEW interface +GRAPHIC 664,0 19 0 +DESIGN lissajous@generator_tester +VIEW interface +GRAPHIC 669,0 20 0 +DESIGN lissajous@generator_tester +VIEW interface +GRAPHIC 674,0 21 0 +DESIGN lissajous@generator_tester +VIEW interface +GRAPHIC 679,0 22 0 +DESIGN lissajous@generator_tester +VIEW interface +GRAPHIC 649,0 23 0 +DESIGN lissajous@generator_tester +VIEW interface +GRAPHIC 654,0 24 0 +DESIGN lissajous@generator_tester +VIEW interface +GRAPHIC 1,0 27 0 +DESIGN lissajous@generator_tester +VIEW interface +GRAPHIC 1,0 28 0 diff --git a/zz-solutions/04-Lissajous/Prefs/hds_team/v2019.2/hds_team_prefs.bak b/zz-solutions/04-Lissajous/Prefs/hds_team/v2019.2/hds_team_prefs.bak new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_team/v2019.2/hds_team_prefs.bak @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/hds_user_prefs b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/hds_user_prefs index dc45370..39bf0d4 100644 --- a/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/hds_user_prefs +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/hds_user_prefs @@ -1280,6 +1280,7 @@ projectPaths [ "C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\04-Lissajous\\Prefs\\hds.hdp" "C:\\work\\edu\\sem\\labo\\sem_labs\\04-Lissajous\\Prefs\\hds.hdp" "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\hds.hdp" +"C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\zz-solutions\\04-Lissajous\\Prefs\\hds.hdp" ] libMappingsRootDir "" teamLibMappingsRootDir "" @@ -1300,289 +1301,67 @@ exportedDirectories [ exportStdIncludeRefs 1 exportStdPackageRefs 1 ) -printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN" +printerName "Microsoft Print to PDF" pageSizes [ (PageSizeInfo -name "12\" x 18\"" -type 512 -width 1106 -height 1658 +name "Letter" +width 783 +height 1013 ) (PageSizeInfo -name "11\" x 17\"" -type 17 +name "Tabloid" +type 3 width 1013 height 1566 ) (PageSizeInfo -name "Legal (8,5\" x 14\")" +name "Legal" type 5 width 783 height 1290 ) (PageSizeInfo -name "Letter (8,5\" x 11\")" -width 783 -height 1013 -) -(PageSizeInfo -name "Executive (7,25\"x10,5\")" -type 7 -width 667 -height 967 -) -(PageSizeInfo -name "5,5\" x 8,5\"" +name "Statement" type 6 width 506 height 783 ) (PageSizeInfo -name "A3 (297 x 420 mm)" +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" type 8 width 1077 height 1523 ) (PageSizeInfo -name "A4 (210 x 297 mm)" +name "A4" type 9 width 761 height 1077 ) (PageSizeInfo -name "A5 (148 x 210 mm)" +name "A5" type 11 -width 538 +width 536 height 761 ) (PageSizeInfo -name "A6 (105 x 148 mm)" -type 70 -width 380 -height 538 -) -(PageSizeInfo -name "B4 JIS (257 x 364 mm)" +name "B4 (JIS)" type 12 width 932 height 1320 ) (PageSizeInfo -name "B5 JIS (182 x 257 mm)" +name "B5 (JIS)" type 13 width 660 height 932 ) -(PageSizeInfo -name "B6 JIS (128 x 182 mm)" -type 88 -width 464 -height 660 -) -(PageSizeInfo -name "8\" x 13\"" -type 518 -width 737 -height 1198 -) -(PageSizeInfo -name "8,25\" x 13\"" -type 519 -width 760 -height 1198 -) -(PageSizeInfo -name "8,5\" x 13\"" -type 14 -width 783 -height 1198 -) -(PageSizeInfo -name "8.5\" x 13.4\"" -type 551 -width 783 -height 1235 -) -(PageSizeInfo -name "Com10 Env.(4,125\"x9,5\")" -type 20 -width 380 -height 875 -) -(PageSizeInfo -name "Env.Monar.(3,875\"x7,5\")" -type 37 -width 357 -height 691 -) -(PageSizeInfo -name "Env. DL (110 x 220 mm)" -type 27 -width 399 -height 798 -) -(PageSizeInfo -name "Env. C6 (114 x 162 mm)" -type 31 -width 413 -height 587 -) -(PageSizeInfo -name "Env. C5 (162 x 229 mm)" -type 28 -width 587 -height 830 -) -(PageSizeInfo -name "8K (267 x 390 mm)" -type 520 -width 968 -height 1415 -) -(PageSizeInfo -name "16K (195 x 267 mm)" -type 521 -width 707 -height 968 -) -(PageSizeInfo -name "8,25\" x 14\"" -type 522 -width 760 -height 1290 -) -(PageSizeInfo -name "11\" x 14\"" -type 524 -width 1013 -height 1290 -) -(PageSizeInfo -name "13\" x 19,2\"" -type 525 -width 1198 -height 1769 -) -(PageSizeInfo -name "13\" x 19\"" -type 526 -width 1198 -height 1751 -) -(PageSizeInfo -name "12,6\" x 19,2\"" -type 527 -width 1161 -height 1769 -) -(PageSizeInfo -name "12,6\" x 18,5\"" -type 528 -width 1161 -height 1704 -) -(PageSizeInfo -name "13\" x 18\"" -type 529 -width 1198 -height 1658 -) -(PageSizeInfo -name "10\" x 14\"" -type 16 -width 921 -height 1290 -) -(PageSizeInfo -name "10\" x 15\"" -type 546 -width 921 -height 1382 -) -(PageSizeInfo -name "11\" x 15\"" -type 539 -width 1013 -height 1382 -) -(PageSizeInfo -name "SRA3 (320 x 450 mm)" -type 530 -width 1161 -height 1632 -) -(PageSizeInfo -name "SRA4 (225 x 320 mm)" -type 531 -width 816 -height 1161 -) -(PageSizeInfo -name "Format papier personnalisé" -type 256 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size1(215,9 x 279,4 mm)" -type 257 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size2(215,9 x 279,4 mm)" -type 258 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size3(215,9 x 279,4 mm)" -type 259 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size4(215,9 x 279,4 mm)" -type 260 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size5(215,9 x 279,4 mm)" -type 261 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size6(215,9 x 279,4 mm)" -type 262 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size7(215,9 x 279,4 mm)" -type 263 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size8(215,9 x 279,4 mm)" -type 264 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size9(215,9 x 279,4 mm)" -type 265 -width 783 -height 1013 -) -(PageSizeInfo -name "Custom Paper Size10(215,9 x 279,4 mm)" -type 266 -width 783 -height 1013 -) ] exportPageSetupInfo (PageSetupInfo ptrCmd "FrameMaker MIF" @@ -4292,7 +4071,7 @@ hdsWorkspaceLocation "" relativeLibraryRootDir "" vmLabelLatestDontAskAgain 0 vmLabelWorkspaceDontAskAgain 0 -logWindowGeometry "600x619+-1073+193" +logWindowGeometry "600x619+255+144" diagramBrowserTabNo 0 showInsertPortHint 0 showContentFirstTime 0 diff --git a/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/hds_user_prefs.bak b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/hds_user_prefs.bak new file mode 100644 index 0000000..b701f69 --- /dev/null +++ b/zz-solutions/04-Lissajous/Prefs/hds_user/v2019.2/hds_user_prefs.bak @@ -0,0 +1,6710 @@ +version "49.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +measurementUnits 1 +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\04-Lissajous\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\04-Lissajous\\Prefs\\hds.hdp" +"C:\\work\\edu\\sem\\labo\\sem_labs\\04-Lissajous\\Prefs\\hds.hdp" +"C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\hds.hdp" +"C:\\Users\\remi.heredero\\GIT\\2024-sem-labs-herederoremi\\zz-solutions\\04-Lissajous\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "\\\\vmenpprint1\\VS-ENP.23.N308-PRN" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Statement" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A5" +type 11 +width 536 +height 761 +) +(PageSizeInfo +name "B4 (JIS)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 (JIS)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "11×17" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Envelope #10" +type 20 +width 379 +height 875 +) +(PageSizeInfo +name "Envelope DL" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Envelope C5" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "Envelope B5" +type 34 +width 638 +height 907 +) +(PageSizeInfo +name "Envelope Monarch" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "Japanese Postcard" +type 43 +width 362 +height 536 +) +(PageSizeInfo +name "A6" +type 70 +width 380 +height 536 +) +(PageSizeInfo +name "Double Japan Postcard Rotated" +type 82 +width 536 +height 725 +) +(PageSizeInfo +name "Executive (JIS)" +type 119 +width 783 +height 1196 +) +(PageSizeInfo +name "Oficio 8.5x13" +type 120 +width 783 +height 1198 +) +(PageSizeInfo +name "12x18" +type 121 +width 1105 +height 1658 +) +(PageSizeInfo +name "8K 273x394 mm" +type 139 +width 990 +height 1428 +) +(PageSizeInfo +name "16K 197x273 mm" +type 140 +width 714 +height 990 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +"USER:Diamond Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" \"USER:Diamond Project IDE\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" USER:Update.ldf" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x619+-1073+193" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +VHDLEndFrames 0 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Size" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous" +showingHierarchy 0 +openLibs [ +"Lissajous" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous_test" +showingHierarchy 0 +openLibs [ +"Lissajous_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 0 +yPos 0 +width 1936 +height 1056 +activeSidePanelTab 2 +activeLibraryTab 1 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"Board" +"Lattice" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/zz-solutions/04-Lissajous/lissajous.bat b/zz-solutions/04-Lissajous/lissajous.bat index 983c9d9..a454824 100644 --- a/zz-solutions/04-Lissajous/lissajous.bat +++ b/zz-solutions/04-Lissajous/lissajous.bat @@ -16,8 +16,8 @@ set VERBOSE=1 set REQUIRE_LIBS=0 set REQUIRE_HDS=1 set REQUIRE_MODELSIM=1 -set REQUIRE_ISE=0 -set REQUIRE_DIAMOND=1 +set REQUIRE_ISE=1 +set REQUIRE_DIAMOND=0 :: Set project name set design_name=%~n0 diff --git a/zz-solutions/Libs/Lattice/hds/.cache.dat b/zz-solutions/Libs/Lattice/hds/.cache.dat new file mode 100644 index 0000000..43af706 Binary files /dev/null and b/zz-solutions/Libs/Lattice/hds/.cache.dat differ